Package g0901_1000.s0936_stamping_the_sequence

See: Description