spinal

core

package core

Linear Supertypes
Ordering
  1. Alphabetic
  2. By inheritance
Inherited
  1. core
  2. BaseTypeCast
  3. BitsCast
  4. SIntCast
  5. UIntCast
  6. BoolCast
  7. BaseTypeFactory
  8. VecFactory
  9. SIntFactory
  10. UIntFactory
  11. BitsFactory
  12. BoolFactory
  13. AnyRef
  14. Any
  1. Hide All
  2. Show all
Learn more about member selection
Visibility
  1. Public
  2. All

Type Members

  1. trait Area extends AnyRef

  2. trait Assignable extends AnyRef

  3. class AssignedBits extends AnyRef

  4. class AssignedRange extends AnyRef

  5. trait AssignementNode extends Node

  6. trait Attribute extends AnyRef

  7. class AttributeFlag extends Attribute

  8. trait AttributeReady extends AnyRef

    Created by PIC18F on 09.02.2015.

  9. class AttributeString extends Attribute

  10. class Backend extends AnyRef

  11. class BackendReport[T <: Component] extends AnyRef

  12. abstract class BaseType extends Node with Data with Nameable

  13. trait BaseTypeCast extends BoolCast with UIntCast with SIntCast with BitsCast

  14. trait BaseTypeFactory extends BoolFactory with BitsFactory with UIntFactory with SIntFactory with VecFactory

    Created by PIC18F on 21.08.2014.

  15. case class BigIntBuilder(i: BigInt) extends Product with Serializable

  16. class BitAssignmentFixed extends Node with AssignementNode

  17. class BitAssignmentFloating extends Node with AssignementNode

  18. case class BitCount(value: Int) extends Product with Serializable

  19. abstract class BitVector extends BaseType

  20. abstract class BitVectorLiteralFactory[T <: BitVector] extends AnyRef

    Created by PIC18F on 21.08.2014.

  21. final class BitVectorPimper[T <: BitVector] extends AnyVal

  22. class Bits extends BitVector

  23. trait BitsCast extends AnyRef

    Created by PIC18F on 16.01.2015.

  24. trait BitsFactory extends AnyRef

  25. class BitsLiteral extends Node with Literal

  26. abstract class BlackBox extends Component with SpinalTagReady

  27. abstract class BlackBoxULogic extends BlackBox

  28. class Bool extends BaseType

  29. trait BoolCast extends AnyRef

  30. trait BoolFactory extends AnyRef

  31. class BoolLiteral extends Node with Literal

  32. class Bundle extends MultiData with Nameable with OverridedEqualsHashCode

  33. class Cast extends Modifier

  34. class ClockDomain extends AnyRef

  35. case class ClockDomainConfig(clockEdge: EdgeKind = RISING, resetKind: ResetKind = ASYNC, resetActiveHigh: Boolean = true, clockEnableActiveHigh: Boolean = true) extends Product with Serializable

  36. class ClockEnableArea extends Area with DelayedInit

  37. class ClockingArea extends Area with DelayedInit

  38. abstract class Component extends NameableByComponent with GlobalDataUser with ScalaLocated with DelayedInit

  39. trait ContextUser extends GlobalDataUser

  40. trait Data extends ContextUser with NameableByComponent with Assignable with AttributeReady with SpinalTagReady with GlobalDataUser with ScalaLocated

  41. final class DataPimper[T <: Data] extends AnyVal

  42. case class DoubleBuilder(d: Double) extends Product with Serializable

  43. trait EdgeKind extends AnyRef

    Created by PIC18F on 21.08.2014.

  44. class EnumCast extends Modifier

  45. class EnumLiteral[T <: SpinalEnum] extends Node with Literal

  46. case class ExpCount(value: Int) extends Product with Serializable

  47. abstract class Extract extends Modifier

  48. class ExtractBitsVectorFixed extends Extract

  49. class ExtractBitsVectorFloating extends Extract

  50. class ExtractBoolFixed extends Extract

  51. class ExtractBoolFloating extends Extract

  52. case class FixedFrequency(value: Double) extends IClockDomainFrequency with Product with Serializable

  53. class Function extends Modifier

  54. class Generic extends AnyRef

    Created by PIC18F on 24.01.2015.

  55. class GlobalData extends AnyRef

  56. trait GlobalDataUser extends AnyRef

  57. trait IClockDomainFrequency extends AnyRef

  58. trait IODirection extends BaseTypeFactory

  59. abstract class ImplicitArea[T] extends Area

  60. case class IntBuilder(i: Int) extends Product with Serializable

  61. class IntLiteral extends Node with Literal with MinMaxProvider

  62. trait Literal extends Node

  63. implicit final class LiteralBuilder extends AnyVal

  64. case class LiteralInt(value: BigInt) extends Product with Serializable

  65. class Mem[T <: Data] extends Node with Nameable

  66. class MemReadAsync extends Node

  67. class MemReadSync extends SyncNode

  68. class MemWrite extends SyncNode

  69. class MemWriteOrRead_readPart extends SyncNode

  70. class MemWriteOrRead_writePart extends SyncNode

  71. trait MemWriteToReadKind extends AnyRef

    Created by PIC18F on 02.02.2015.

  72. trait MinMaxProvider extends AnyRef

  73. class Modifier extends Node

  74. abstract class MultiData extends Data

  75. class MultipleAssignmentNode extends Node

  76. class Multiplexer extends Modifier

  77. trait Nameable extends AnyRef

  78. trait NameableByComponent extends Nameable with GlobalDataUser

  79. abstract class Node extends ContextUser with ScalaLocated with SpinalTagReady with GlobalDataUser

  80. class NoneNode extends Node

  81. trait Num[T <: Data] extends AnyRef

  82. class Operator extends Modifier

  83. trait OverridedEqualsHashCode extends AnyRef

  84. class Ram_1c_1w_1ra extends BlackBox

  85. class Ram_1c_1w_1rs extends BlackBox

  86. class Ram_1wors extends BlackBox

  87. class Ram_1wrs extends BlackBox

  88. class RangedAssignmentFixed extends Node with AssignementNode

  89. class RangedAssignmentFloating extends Node with AssignementNode

  90. class Reg extends SyncNode with Assignable

  91. class ResetArea extends Area with DelayedInit

  92. trait ResetKind extends AnyRef

  93. class SFix extends XFix[SFix, SInt]

  94. class SFix2D extends Bundle

  95. class SInt extends BitVector with Num[SInt] with MinMaxProvider

  96. trait SIntCast extends AnyRef

    Created by PIC18F on 21.08.2014.

  97. trait SIntFactory extends AnyRef

  98. class STime extends AnyRef

  99. class SafeStack[T] extends AnyRef

  100. trait ScalaLocated extends GlobalDataUser

  101. class Scope extends AnyRef

  102. class SpinalEnum extends Nameable

  103. class SpinalEnumCraft[T <: SpinalEnum] extends BaseType

  104. class SpinalEnumElement[T <: SpinalEnum] extends Nameable

  105. class SpinalExit extends Exception

  106. trait SpinalTag extends AnyRef

  107. trait SpinalTagReady extends AnyRef

  108. class SpinalVhdl[T <: Component] extends AnyRef

  109. class SwitchStack extends AnyRef

  110. abstract class SyncNode extends Node

  111. class UFix extends XFix[UFix, UInt]

  112. class UFix2D extends Bundle

  113. class UInt extends BitVector with Num[UInt] with MinMaxProvider

  114. case class UInt2D(xBitCount: BitCount, yBitCount: BitCount) extends Bundle with Product with Serializable

  115. trait UIntCast extends AnyRef

  116. trait UIntFactory extends AnyRef

  117. case class UnknownFrequency() extends IClockDomainFrequency with Product with Serializable

  118. class Vec[T <: Data] extends MultiData with IndexedSeq[T]

  119. class VecAccessAssign[T <: BaseType] extends Assignable

  120. trait VecFactory extends AnyRef

  121. class VhdlBackend extends Backend with VhdlBase

    Created by PIC18F on 07.01.2015.

  122. trait VhdlBase extends AnyRef

    Created by PIC18F on 07.01.2015.

  123. class VhdlTestBenchBackend extends VhdlBase

  124. class WhenNode extends Node

  125. abstract class WidthChecker extends AnyRef

  126. class WidthCheckerAugment extends WidthChecker

  127. class WidthCheckerEguals extends WidthChecker

  128. class WidthCheckerReduce extends WidthChecker

  129. abstract class XFix[T <: XFix[T, R], R <: BitVector with Num[R]] extends MultiData

  130. class when extends GlobalDataUser

Value Members

  1. object ASYNC extends ResetKind

  2. object AssignedBits

  3. object B extends BitVectorLiteralFactory[Bits]

  4. object BaseType

  5. implicit def BigIntToBits(that: BigInt): Bits

  6. implicit def BigIntToBuilder(value: BigInt): BigIntBuilder

  7. implicit def BigIntToSInt(that: BigInt): SInt

  8. implicit def BigIntToUInt(that: BigInt): UInt

  9. object BinaryOperator

  10. implicit def BitVectorPimped[T <: BitVector](that: T): BitVectorPimper[T]

  11. def Bits(width: BitCount): Bits

    Definition Classes
    BitsFactory
  12. def Bits(): Bits

    Definition Classes
    BitsFactory
  13. object BitsLiteral

  14. object BitsSet

  15. def Bool(value: Boolean): Bool

    Definition Classes
    BoolFactory
  16. def Bool: Bool

    Definition Classes
    BoolFactory
  17. object BoolLiteral

  18. object BoolReg

  19. object Bundle

    Created by PIC18F on 08.01.2015.

  20. object Cast

  21. object Cat

  22. object ClockDomain

  23. object Component

  24. object Context

    Created by PIC18F on 21.08.2014.

  25. object Data

  26. implicit def DataPimped[T <: Data](that: T): DataPimper[T]

  27. implicit def DoubleToBuilder(value: Double): DoubleBuilder

  28. object Driver

    Created by PIC18F on 07.01.2015.

  29. object EnumCast

  30. object FALLING extends EdgeKind

  31. def False: Bool

  32. object Function

  33. object GlobalData

  34. object ImplicitArea

  35. object InputNormalize

  36. object IntLiteral

  37. implicit def IntToBits(that: Int): Bits

  38. implicit def IntToBuilder(value: Int): IntBuilder

  39. implicit def IntToSInt(that: Int): SInt

  40. implicit def IntToUInt(that: Int): UInt

  41. object MacroTest

  42. object Mem

  43. object MemReadSync

  44. object MemWrite

  45. object MemWriteOrRead_readPart

  46. object MemWriteOrRead_writePart

  47. object Misc

  48. object MultiData

  49. object Mux

  50. object NoneNode

  51. object RISING extends EdgeKind

  52. object Reg

  53. object RegInit

  54. object RegNext

  55. object RegNextWhen

  56. object RegS

  57. object Resize

  58. object S extends BitVectorLiteralFactory[SInt]

  59. object SFix

    Created by PIC on 19.05.2015.

  60. object SFix2D

  61. def SInt(width: BitCount): SInt

    Definition Classes
    SIntFactory
  62. def SInt(): SInt

    Definition Classes
    SIntFactory
  63. object SYNC extends ResetKind

  64. object ScalaLocated

  65. object ScalaUniverse

  66. object SeqMux

  67. object SpinalError

  68. object SpinalExit extends Serializable

  69. object SpinalInfo

  70. object SpinalInfoPhase

  71. object SpinalVhdl

  72. object SpinalWarning

  73. implicit def StringToBits(that: String): Bits

  74. implicit def StringToSInt(that: String): SInt

  75. implicit def StringToUInt(that: String): UInt

  76. object SyncNode

  77. def True: Bool

  78. object U extends BitVectorLiteralFactory[UInt]

  79. object UFix

  80. object UFix2D

  81. def UInt(width: BitCount): UInt

    Definition Classes
    UIntFactory
  82. def UInt(): UInt

    Definition Classes
    UIntFactory
  83. object UInt2D extends Serializable

  84. object UnaryOperator

  85. def Vec[T <: Data](firstElement: T, followingElements: T*): Vec[T]

    Definition Classes
    VecFactory
  86. def Vec[T <: Data](gen: ⇒ T, size: Int): Vec[T]

    Definition Classes
    VecFactory
  87. def Vec[T <: Data](elements: TraversableOnce[T]): Vec[T]

    Definition Classes
    VecFactory
  88. object WhenNode

  89. object WidthInfer

  90. object ZeroWidth

  91. object cloneOf

  92. object crossClockBuffer extends SpinalTag

  93. object crossClockDomain extends SpinalTag

  94. object default

  95. object dontCare extends MemWriteToReadKind

  96. def fill[T <: Data](size: Int)(gen: ⇒ T): Vec[T]

    Definition Classes
    VecFactory
  97. object ifGen

  98. implicit lazy val implicitConversions: implicitConversions

  99. object in extends IODirection

  100. object is

  101. object isPow2

  102. object log2Up

  103. object out extends IODirection

  104. implicit lazy val postfixOps: postfixOps

  105. object randomBoot extends SpinalTag

  106. object readFirst extends MemWriteToReadKind

  107. implicit lazy val reflectiveCalls: reflectiveCalls

  108. object signalCache

  109. object switch

  110. def tabulate[T <: Data](size: Int)(gen: (Int) ⇒ T): Vec[T]

    Definition Classes
    VecFactory
  111. object tagAutoResize extends SpinalTag

  112. def toBits(that: Data): Bits

    Definition Classes
    BitsCast
  113. def toBool(that: SInt): Bool

    Definition Classes
    BoolCast
  114. def toBool(that: UInt): Bool

    Definition Classes
    BoolCast
  115. def toBool(that: Bits): Bool

    Definition Classes
    BoolCast
  116. def toSInt(that: UInt): SInt

    Definition Classes
    SIntCast
  117. def toSInt(that: Bits): SInt

    Definition Classes
    SIntCast
  118. def toSInt(that: Bool): SInt

    Definition Classes
    SIntCast
  119. def toUInt(that: SInt): UInt

    Definition Classes
    UIntCast
  120. def toUInt(that: Bits): UInt

    Definition Classes
    UIntCast
  121. def toUInt(that: Bool): UInt

    Definition Classes
    UIntCast
  122. object uLogic extends SpinalTag

  123. object when

    Created by PIC18F on 11.01.2015.

  124. object widthOf

  125. object writeFirst extends MemWriteToReadKind

Deprecated Value Members

  1. def Vec[T <: Data](size: Int, gen: (Int) ⇒ T): Vec[T]

    Definition Classes
    VecFactory
    Annotations
    @deprecated
    Deprecated
  2. def Vec[T <: Data](size: Int, gen: ⇒ T): Vec[T]

    Definition Classes
    VecFactory
    Annotations
    @deprecated
    Deprecated

Inherited from BaseTypeCast

Inherited from BitsCast

Inherited from SIntCast

Inherited from UIntCast

Inherited from BoolCast

Inherited from BaseTypeFactory

Inherited from VecFactory

Inherited from SIntFactory

Inherited from UIntFactory

Inherited from BitsFactory

Inherited from BoolFactory

Inherited from AnyRef

Inherited from Any

Ungrouped