spinal

core

package core

Linear Supertypes
Ordering
  1. Alphabetic
  2. By inheritance
Inherited
  1. core
  2. BaseTypeCast
  3. UFixCast
  4. SFixCast
  5. BitsCast
  6. SIntCast
  7. UIntCast
  8. BoolCast
  9. BaseTypeFactory
  10. VecFactory
  11. SIntFactory
  12. UIntFactory
  13. BitsFactory
  14. BoolFactory
  15. AnyRef
  16. Any
  1. Hide All
  2. Show all
Learn more about member selection
Visibility
  1. Public
  2. All

Type Members

  1. trait ActiveKind extends AnyRef

  2. trait Area extends Nameable with ContextUser

  3. trait Assignable extends AnyRef

  4. class AssignedBits extends AnyRef

  5. class AssignedRange extends AnyRef

  6. abstract class AssignementNode extends Node

  7. trait AssignementTreePart extends AnyRef

  8. trait Attribute extends AnyRef

  9. class AttributeFlag extends Attribute

  10. trait AttributeReady extends AnyRef

    Created by PIC18F on 09.02.2015.

  11. class AttributeString extends Attribute

  12. class Backend extends AnyRef

  13. class BackendReport[T <: Component] extends AnyRef

  14. abstract class BaseType extends Node with Data with Nameable with AssignementTreePart

  15. trait BaseTypeCast extends BoolCast with UIntCast with SIntCast with BitsCast with SFixCast with UFixCast

  16. trait BaseTypeFactory extends BoolFactory with BitsFactory with UIntFactory with SIntFactory with VecFactory

    Created by PIC18F on 21.08.2014.

  17. case class BigIntBuilder(i: BigInt) extends Product with Serializable

  18. abstract class BinaryOperator extends Operator

  19. class BitAssignmentFixed extends AssignementNode

  20. class BitAssignmentFloating extends AssignementNode

  21. case class BitCount(value: Int) extends Product with Serializable

  22. abstract class BitVector extends BaseType

  23. abstract class BitVectorLiteralFactory[T <: BitVector] extends AnyRef

  24. class BitVectorPimper[T <: BitVector] extends AnyRef

  25. class Bits extends BitVector

  26. class BitsAllToLiteral extends Node with Literal

  27. trait BitsCast extends AnyRef

    Created by PIC18F on 16.01.2015.

  28. trait BitsFactory extends AnyRef

  29. class BitsLiteral extends Node with Literal

  30. abstract class BlackBox extends Component with SpinalTagReady

  31. abstract class BlackBoxULogic extends BlackBox

  32. class Bool extends BaseType

  33. trait BoolCast extends AnyRef

  34. trait BoolFactory extends AnyRef

  35. class BoolLiteral extends Node with Literal

  36. class Bundle extends MultiData with Nameable with OverridedEqualsHashCode

  37. class BundleCase extends Bundle

  38. class CaseContext extends ConditionalContext

  39. class CaseNode extends NodeWithVariableInputsCount

  40. abstract class Cast extends Modifier

  41. class CastBitsToEnum extends Cast

  42. class CastBitsToSInt extends Cast

  43. class CastBitsToUInt extends Cast

  44. class CastBoolToBits extends Cast

  45. class CastEnumToBits extends Cast

  46. class CastEnumToEnum extends Cast

  47. class CastSIntToBits extends Cast

  48. class CastSIntToUInt extends Cast

  49. class CastUIntToBits extends Cast

  50. class CastUIntToSInt extends Cast

  51. class ClockDomain extends AnyRef

  52. trait ClockDomainBoolTag extends SpinalTag

  53. case class ClockDomainConfig(clockEdge: EdgeKind = RISING, resetKind: ResetKind = ASYNC, resetActiveLevel: ActiveKind = HIGH, clockEnableActiveLevel: ActiveKind = HIGH) extends Product with Serializable

  54. case class ClockDomainTag(clockDomain: ClockDomain) extends SpinalTag with Product with Serializable

  55. class ClockEnableArea extends Area with DelayedInit

  56. case class ClockEnableTag(clockDomain: ClockDomain) extends ClockDomainBoolTag with Product with Serializable

  57. case class ClockTag(clockDomain: ClockDomain) extends ClockDomainBoolTag with Product with Serializable

  58. class ClockingArea extends Area with DelayedInit

  59. abstract class Component extends NameableByComponent with GlobalDataUser with ScalaLocated with DelayedInit with Stackable

  60. trait ConditionalContext extends GlobalDataUser

    Created by PIC18F on 11.01.2015.

  61. abstract class ConstantOperator extends Operator

  62. trait ContextUser extends GlobalDataUser

  63. trait Data extends ContextUser with NameableByComponent with Assignable with AttributeReady with SpinalTagReady with GlobalDataUser with ScalaLocated

  64. class DataPimper[T <: Data] extends AnyRef

  65. abstract class DontCareNode extends NodeWithoutInputs

  66. class DontCareNodeFixed extends DontCareNode

  67. class DontCareNodeInfered extends DontCareNode

  68. case class DoubleBuilder(d: Double) extends Product with Serializable

  69. trait EdgeKind extends AnyRef

    Created by PIC18F on 21.08.2014.

  70. class EnumData extends SpinalEnum

  71. class EnumFsm extends SpinalEnum

  72. class EnumLiteral[T <: SpinalEnum] extends Node with Literal

  73. case class ExpCount(value: Int) extends Product with Serializable

  74. abstract class Extract extends Modifier

  75. abstract class ExtractBitsVectorFixed extends Extract

  76. class ExtractBitsVectorFixedFromBits extends ExtractBitsVectorFixed

  77. class ExtractBitsVectorFixedFromSInt extends ExtractBitsVectorFixed

  78. class ExtractBitsVectorFixedFromUInt extends ExtractBitsVectorFixed

  79. abstract class ExtractBitsVectorFloating extends Extract

  80. class ExtractBitsVectorFloatingFromBits extends ExtractBitsVectorFloating

  81. class ExtractBitsVectorFloatingFromSInt extends ExtractBitsVectorFloating

  82. class ExtractBitsVectorFloatingFromUInt extends ExtractBitsVectorFloating

  83. abstract class ExtractBoolFixed extends Extract

  84. class ExtractBoolFixedFromBits extends ExtractBoolFixed

  85. class ExtractBoolFixedFromSInt extends ExtractBoolFixed

  86. class ExtractBoolFixedFromUInt extends ExtractBoolFixed

  87. abstract class ExtractBoolFloating extends Extract

  88. class ExtractBoolFloatingFromBits extends ExtractBoolFloating

  89. class ExtractBoolFloatingFromSInt extends ExtractBoolFloating

  90. class ExtractBoolFloatingFromUInt extends ExtractBoolFloating

  91. case class FixedFrequency(value: Double) extends IClockDomainFrequency with Product with Serializable

  92. class Generic extends AnyRef

    Created by PIC18F on 24.01.2015.

  93. class GlobalData extends AnyRef

  94. trait GlobalDataUser extends AnyRef

  95. trait IClockDomainFrequency extends AnyRef

  96. trait IODirection extends BaseTypeFactory

  97. abstract class ImplicitArea[T] extends Area

  98. final class IntBuilder extends AnyVal

  99. trait Literal extends Node

  100. implicit class LiteralBuilder extends AnyRef

  101. case class LiteralInt(value: BigInt) extends Product with Serializable

  102. class MaskedLiteral extends AnyRef

  103. class Mem[T <: Data] extends NodeWithVariableInputsCount with AttributeReady with Nameable

  104. trait MemBitsMaskKind extends AnyRef

    Created by PIC18F on 07.01.2015.

  105. class MemReadAsync extends Node

  106. class MemReadSync extends SyncNode

  107. class MemWrite extends SyncNode

  108. class MemWriteOrRead_readPart extends SyncNode

  109. class MemWriteOrRead_writePart extends SyncNode

  110. class MemWritePayload[T <: Data] extends Bundle

  111. trait MemWriteToReadKind extends AnyRef

    Created by PIC18F on 02.02.2015.

  112. trait MinMaxProvider extends AnyRef

  113. abstract class Modifier extends Node

  114. abstract class MultiData extends Data

  115. class MultiPhase extends Phase

  116. class MultipleAssignmentNode extends NodeWithVariableInputsCount with AssignementTreePart

  117. abstract class Multiplexer extends Modifier

  118. class MultiplexerBits extends Multiplexer

  119. class MultiplexerBool extends Multiplexer

  120. class MultiplexerEnum extends Multiplexer

  121. class MultiplexerSInt extends Multiplexer

  122. class MultiplexerUInt extends Multiplexer

  123. trait Nameable extends AnyRef

  124. trait NameableByComponent extends Nameable with GlobalDataUser

  125. abstract class Node extends ContextUser with ScalaLocated with SpinalTagReady with GlobalDataUser

  126. abstract class NodeWithVariableInputsCount extends Node

  127. abstract class NodeWithoutInputs extends Node

  128. class NoneNode extends NodeWithoutInputs

  129. trait Num[T <: Data] extends AnyRef

  130. abstract class Operator extends Modifier

  131. trait OverridedEqualsHashCode extends AnyRef

  132. trait Phase extends AnyRef

  133. class RInt extends MultiData with Num[RInt]

  134. class Ram_1c_1w_1ra extends BlackBox

  135. class Ram_1c_1w_1rs extends BlackBox

  136. class Ram_1wors extends BlackBox

  137. class Ram_1wrs extends BlackBox

  138. class RangedAssignmentFixed extends AssignementNode

  139. class RangedAssignmentFloating extends AssignementNode

  140. class Reg extends SyncNode with Assignable with AssignementTreePart

  141. class ResetArea extends Area with DelayedInit

  142. trait ResetKind extends AnyRef

  143. case class ResetTag(clockDomain: ClockDomain) extends ClockDomainBoolTag with Product with Serializable

  144. abstract class Resize extends Modifier

  145. class ResizeBits extends Resize

  146. class ResizeSInt extends Resize

  147. class ResizeUInt extends Resize

  148. class SFix extends XFix[SFix, SInt]

  149. class SFix2D extends Bundle

  150. trait SFixCast extends AnyRef

  151. class SInt extends BitVector with Num[SInt] with MinMaxProvider

  152. trait SIntCast extends AnyRef

    Created by PIC18F on 21.08.2014.

  153. trait SIntFactory extends AnyRef

  154. implicit class SIntPimper extends AnyRef

  155. class STime extends AnyRef

  156. class SafeStack[T] extends AnyRef

  157. class SafeStackWithStackable[T <: Stackable] extends SafeStack[T]

  158. trait ScalaLocated extends GlobalDataUser

  159. class Scope extends AnyRef

  160. class SpinalEnum extends Nameable

  161. class SpinalEnumCraft[T <: SpinalEnum] extends BaseType

  162. class SpinalEnumElement[T <: SpinalEnum] extends Nameable

  163. trait SpinalEnumEncoding extends Nameable

  164. class SpinalExit extends Exception

  165. trait SpinalTag extends AnyRef

  166. trait SpinalTagReady extends AnyRef

  167. class SpinalVhdlBuilder[T <: Component] extends AnyRef

  168. trait Stackable extends AnyRef

  169. class SwitchContext extends ConditionalContext

  170. class SwitchNode extends NodeWithVariableInputsCount

  171. class SwitchStack extends AnyRef

  172. abstract class SyncNode extends Node

  173. class TagDefault extends SpinalTag

    Created by PIC18F on 21.08.2014.

  174. class UFix extends XFix[UFix, UInt]

  175. class UFix2D extends Bundle

  176. trait UFixCast extends AnyRef

  177. class UInt extends BitVector with Num[UInt] with MinMaxProvider

  178. case class UInt2D(xBitCount: BitCount, yBitCount: BitCount) extends Bundle with Product with Serializable

  179. trait UIntCast extends AnyRef

  180. trait UIntFactory extends AnyRef

  181. implicit class UIntPimper extends AnyRef

  182. abstract class UnaryOperator extends Operator

  183. case class UnknownFrequency() extends IClockDomainFrequency with Product with Serializable

  184. class Vec[T <: Data] extends MultiData with IndexedSeq[T]

  185. class VecAccessAssign[T <: BaseType] extends Assignable

  186. trait VecFactory extends AnyRef

  187. class VhdlBackend extends Backend with VhdlBase

  188. trait VhdlBase extends AnyRef

    Created by PIC18F on 07.01.2015.

  189. class VhdlTestBenchBackend extends VhdlBase

  190. class WhenContext extends ConditionalContext

  191. class WhenNode extends Node with AssignementTreePart

  192. abstract class XFix[T <: XFix[T, R], R <: BitVector with Num[R]] extends MultiData

Value Members

  1. object ASYNC extends ResetKind

  2. object ArrayManager

  3. object AssignedBits

  4. object AssignedRange

  5. object B extends BitVectorLiteralFactory[Bits]

  6. object BaseType

  7. implicit def BigIntToBits(that: BigInt): Bits

  8. implicit def BigIntToBuilder(value: BigInt): BigIntBuilder

  9. implicit def BigIntToSInt(that: BigInt): SInt

  10. implicit def BigIntToUInt(that: BigInt): UInt

  11. implicit def BitVectorPimped[T <: BitVector](that: T): BitVectorPimper[T]

  12. def Bits(width: BitCount): Bits

    Definition Classes
    BitsFactory
  13. def Bits(): Bits

    Definition Classes
    BitsFactory
  14. object BitsLiteral

  15. object BitsSet

  16. def Bool(value: Boolean): Bool

    Definition Classes
    BoolFactory
  17. def Bool(): Bool

    Definition Classes
    BoolFactory
  18. object BoolLiteral

  19. object Bundle

    Created by PIC18F on 08.01.2015.

  20. object Cat

  21. object ClockDomain

  22. object Component

  23. object Context

    Created by PIC18F on 21.08.2014.

  24. object Data

  25. implicit def DataPimped[T <: Data](that: T): DataPimper[T]

  26. implicit def DoubleToBuilder(value: Double): DoubleBuilder

  27. object Driver

    Created by PIC18F on 07.01.2015.

  28. object Encoding

  29. implicit def EnumElementToCraft[T <: SpinalEnum](element: SpinalEnumElement[T]): SpinalEnumCraft[T]

  30. object FALLING extends EdgeKind

  31. def False: Bool

  32. object GlobalData

  33. object HIGH extends ActiveKind

  34. object ImplicitArea

  35. object InputNormalize

  36. implicit def IntToBits(that: Int): Bits

  37. implicit def IntToBuilder(value: Int): IntBuilder

  38. implicit def IntToSInt(that: Int): SInt

  39. implicit def IntToUInt(that: Int): UInt

  40. object LOW extends ActiveKind

  41. object MULTIPLE_RAM extends MemBitsMaskKind

  42. object MacroTest

  43. object MaskedLiteral

  44. object Mem

  45. object MemReadSync

  46. object MemWrite

  47. object MemWriteOrRead_readPart

  48. object MemWriteOrRead_writePart

  49. object Misc

  50. object MultiData

  51. object Mux

  52. object Node

  53. object NoneNode

  54. object Operator

  55. object RISING extends EdgeKind

  56. object RInt

    Created by PIC32F_USER on 05/01/2016.

  57. object Reg

  58. object RegInit

  59. object RegNext

  60. object RegNextWhen

  61. object RegS

  62. object S extends BitVectorLiteralFactory[SInt]

  63. object SFix

    Created by PIC on 19.05.2015.

  64. object SFix2D

  65. object SINGLE_RAM extends MemBitsMaskKind

  66. def SInt(width: BitCount): SInt

    Definition Classes
    SIntFactory
  67. def SInt(): SInt

    Definition Classes
    SIntFactory
  68. object SYNC extends ResetKind

  69. object ScalaLocated

  70. object ScalaUniverse

  71. object Sel

  72. object SeqMux

  73. object SpinalError

  74. object SpinalExit extends Serializable

  75. object SpinalInfo

  76. object SpinalInfoPhase

  77. object SpinalLog

  78. object SpinalMap

  79. object SpinalVhdl

  80. object SpinalVhdlBuilder

  81. object SpinalWarning

  82. implicit def StringToBits(that: String): Bits

  83. implicit def StringToSInt(that: String): SInt

  84. implicit def StringToUInt(that: String): UInt

  85. object SymplifyNode

  86. object SyncNode

  87. def True: Bool

  88. object U extends BitVectorLiteralFactory[UInt]

  89. object UFix

  90. object UFix2D

  91. def UInt(width: BitCount): UInt

    Definition Classes
    UIntFactory
  92. def UInt(): UInt

    Definition Classes
    UIntFactory
  93. object UInt2D extends Serializable

  94. def Vec[T <: Data](firstElement: T, followingElements: T*): Vec[T]

    Definition Classes
    VecFactory
  95. def Vec[T <: Data](gen: (Int) ⇒ T, size: Int): Vec[T]

    Definition Classes
    VecFactory
  96. def Vec[T <: Data](gen: Vec[T], size: Int): Vec[Vec[T]]

    Definition Classes
    VecFactory
  97. def Vec[T <: Data](gen: ⇒ T, size: Int): Vec[T]

    Definition Classes
    VecFactory
  98. def Vec[T <: Data](elements: TraversableOnce[T]): Vec[T]

    Definition Classes
    VecFactory
  99. object WhenNode

  100. object WidthInfer

  101. def asBits(that: Data): Bits

    Definition Classes
    BitsCast
  102. def asBool(that: SInt): Bool

    Definition Classes
    BoolCast
  103. def asBool(that: UInt): Bool

    Definition Classes
    BoolCast
  104. def asBool(that: Bits): Bool

    Definition Classes
    BoolCast
  105. def asSInt(that: UInt): SInt

    Definition Classes
    SIntCast
  106. def asSInt(that: Bits): SInt

    Definition Classes
    SIntCast
  107. def asSInt(that: Bool): SInt

    Definition Classes
    SIntCast
  108. def asUInt(that: SInt): UInt

    Definition Classes
    UIntCast
  109. def asUInt(that: Bits): UInt

    Definition Classes
    UIntCast
  110. def asUInt(that: Bool): UInt

    Definition Classes
    UIntCast
  111. object cloneOf

  112. object cloneable

  113. object crossClockBuffer extends SpinalTag

  114. object crossClockDomain extends SpinalTag

  115. object default

  116. object default2

  117. object dontCare extends MemWriteToReadKind

  118. macro def enum(param: Symbol*): Any

  119. def fill[T <: Data](size: Int)(gen: ⇒ T): Vec[T]

    Definition Classes
    VecFactory
  120. object ifGen

  121. implicit lazy val implicitConversions: implicitConversions

  122. object in extends IODirection

  123. object inWithNull extends IODirection

  124. object is

  125. object is2

  126. object isPow2

  127. object log2Up

  128. object native extends SpinalEnumEncoding

  129. object oneHot extends SpinalEnumEncoding

  130. object out extends IODirection

  131. object outWithNull extends IODirection

  132. implicit lazy val postfixOps: postfixOps

  133. object randomBoot extends SpinalTag

  134. object readFirst extends MemWriteToReadKind

  135. implicit lazy val reflectiveCalls: reflectiveCalls

  136. object roundUp

  137. object sequancial extends SpinalEnumEncoding

  138. object signalCache

  139. object switch

  140. object switch2

  141. def tabulate[T <: Data](size: Int)(gen: (Int) ⇒ T): Vec[T]

    Definition Classes
    VecFactory
  142. object tagAutoResize extends SpinalTag

  143. def toSFix(sint: SInt): SFix

    Definition Classes
    SFixCast
  144. def toSInt(that: SFix): SInt

    Definition Classes
    SIntCast
  145. def toUFix(uint: UInt): UFix

    Definition Classes
    UFixCast
  146. def toUInt(that: UFix): UInt

    Definition Classes
    UIntCast
  147. object uLogic extends SpinalTag

  148. object when

  149. object widthOf

  150. object writeFirst extends MemWriteToReadKind

Deprecated Value Members

  1. def Vec[T <: Data](size: Int, gen: (Int) ⇒ T): Vec[T]

    Definition Classes
    VecFactory
    Annotations
    @deprecated
    Deprecated
  2. def Vec[T <: Data](size: Int, gen: ⇒ T): Vec[T]

    Definition Classes
    VecFactory
    Annotations
    @deprecated
    Deprecated
  3. object wrap

    Annotations
    @deprecated
    Deprecated

    Use cloneable instead

Inherited from BaseTypeCast

Inherited from UFixCast

Inherited from SFixCast

Inherited from BitsCast

Inherited from SIntCast

Inherited from UIntCast

Inherited from BoolCast

Inherited from BaseTypeFactory

Inherited from VecFactory

Inherited from SIntFactory

Inherited from UIntFactory

Inherited from BitsFactory

Inherited from BoolFactory

Inherited from AnyRef

Inherited from Any

Ungrouped