spinal.core

VhdlBackend

Related Doc: package core

class VhdlBackend extends Backend with VhdlBase

Linear Supertypes
VhdlBase, Backend, AnyRef, Any
Ordering
  1. Alphabetic
  2. By inheritance
Inherited
  1. VhdlBackend
  2. VhdlBase
  3. Backend
  4. AnyRef
  5. Any
  1. Hide All
  2. Show all
Learn more about member selection
Visibility
  1. Public
  2. All

Instance Constructors

  1. new VhdlBackend()

Type Members

  1. class AssignementLevel extends AnyRef

  2. class ComponentBuilder extends AnyRef

  3. class ConditionalTree extends AnyRef

  4. class SwitchTree extends ConditionalTree

  5. class WhenTree extends ConditionalTree

  6. case class WrappedStuff(originalName: String, newName: String) extends Product with Serializable

Value Members

  1. final def !=(arg0: Any): Boolean

    Definition Classes
    AnyRef → Any
  2. final def ##(): Int

    Definition Classes
    AnyRef → Any
  3. final def ==(arg0: Any): Boolean

    Definition Classes
    AnyRef → Any
  4. def addInOutBinding(): Unit

    Definition Classes
    Backend
  5. def addNodesIntoComponent(): Unit

    Definition Classes
    Backend
  6. def addReservedKeyWordToScope(scope: Scope): Unit

    Definition Classes
    Backend
  7. def allocateNames(): Unit

    Definition Classes
    Backend
  8. def allowLiteralToCrossHierarchy(): Unit

    Definition Classes
    Backend
  9. def allowNodesToReadInputOfKindComponent(): Unit

    Definition Classes
    Backend
  10. def allowNodesToReadOutputs(): Unit

    Definition Classes
    Backend
  11. def applyComponentIoDefaults(): Unit

    Definition Classes
    Backend
  12. final def asInstanceOf[T0]: T0

    Definition Classes
    Any
  13. def blackBoxRemplaceULogic(b: BlackBox, str: String): String

  14. def buildComponentsList(c: Component): Unit

    Definition Classes
    Backend
  15. def checkCombinationalLoops2(): Unit

    Definition Classes
    Backend
  16. def checkCrossClockDomains(): Unit

    Definition Classes
    Backend
  17. def checkInferredWidth(): Unit

    Definition Classes
    Backend
  18. def check_noAsyncNodeWithIncompleteAssignment(): Unit

    Definition Classes
    Backend
  19. def check_noNull_noCrossHierarchy_noInputRegister_noDirectionLessIo(): Unit

    Definition Classes
    Backend
  20. def clone(): AnyRef

    Attributes
    protected[java.lang]
    Definition Classes
    AnyRef
    Annotations
    @throws( ... )
  21. def collectAndNameEnum(): Unit

    Definition Classes
    Backend
  22. def compile(component: Component): Unit

  23. val components: ArrayBuffer[Component]

    Definition Classes
    Backend
  24. def convertWhenToDefault(): Unit

    Definition Classes
    Backend
  25. var defaultClockDomainFrequency: IClockDomainFrequency

    Definition Classes
    Backend
  26. def deleteUselessBaseTypes(): Unit

    Definition Classes
    Backend
  27. def dontSymplifyBasetypeWithComplexAssignement(): Unit

    Definition Classes
    Backend
  28. def elaborate[T <: Component](topLevel: T): BackendReport[T]

    Attributes
    protected
    Definition Classes
    VhdlBackendBackend
  29. def elaborate[T <: Component](gen: () ⇒ T): BackendReport[T]

    Definition Classes
    Backend
  30. def emit(component: Component): String

  31. def emitArchitecture(component: Component, builder: ComponentBuilder): Unit

  32. def emitAssignement(to: Node, from: Node, ret: StringBuilder, tab: String, assignementKind: String): Unit

  33. def emitAsyncronous(component: Component, ret: StringBuilder, funcRet: StringBuilder): Unit

  34. def emitAttributes(node: Node, vhdlType: String, ret: StringBuilder, postfix: String = ""): Unit

  35. def emitAttributesDef(component: Component, ret: StringBuilder): Unit

  36. def emitBlackBoxComponent(component: BlackBox, ret: StringBuilder): Unit

  37. def emitBlackBoxComponents(component: Component, ret: StringBuilder): Unit

  38. def emitClockEdge(clock: Bool, edgeKind: EdgeKind): String

    Definition Classes
    VhdlBase
  39. def emitComponentInstances(component: Component, ret: StringBuilder): Unit

  40. def emitDataType(node: Node, constrained: Boolean = true): String

    Definition Classes
    VhdlBase
  41. def emitDebug(component: Component, ret: StringBuilder, enumDebugSignals: ArrayBuffer[SpinalEnumCraft[_]]): Unit

  42. def emitDirection(baseType: BaseType): String

    Definition Classes
    VhdlBase
  43. def emitEntity(component: Component, builder: ComponentBuilder): Unit

  44. def emitEntityName(component: Component): Unit

  45. def emitEnumLiteral[T <: SpinalEnum](enum: SpinalEnumElement[T], encoding: SpinalEnumEncoding): String

    Definition Classes
    VhdlBase
  46. def emitEnumPackage(out: FileWriter): Unit

  47. def emitEnumType(enum: SpinalEnum, encoding: SpinalEnumEncoding): String

    Definition Classes
    VhdlBase
  48. def emitEnumType[T <: SpinalEnum](enum: SpinalEnumCraft[T]): String

    Definition Classes
    VhdlBase
  49. def emitFuncDef(funcName: String, node: Node, context: AssignementLevel): StringBuilder

  50. def emitLibrary(ret: StringBuilder): Unit

  51. def emitLibrary(builder: ComponentBuilder): Unit

  52. def emitLogic(node: Node): String

  53. def emitPackage(out: FileWriter): Unit

  54. def emitRange(node: Node): String

    Definition Classes
    VhdlBase
  55. def emitReference(node: Node): String

    Definition Classes
    VhdlBase
  56. def emitSignal(ref: Node, typeNode: Node): String

    Definition Classes
    VhdlBase
  57. def emitSignals(component: Component, ret: StringBuilder, enumDebugSignals: ArrayBuffer[SpinalEnumCraft[_]]): Unit

  58. def emitSyncronous(component: Component, ret: StringBuilder): Unit

  59. def emitWrappedIoConnection(buff: StringBuilder, map: HashMap[BaseType, WrappedStuff]): Unit

  60. def emitWrappedIoSignals(buff: StringBuilder, map: HashMap[BaseType, WrappedStuff]): Unit

  61. val emitedComponent: Map[ComponentBuilder, ComponentBuilder]

  62. val emitedComponentRef: Map[Component, Component]

  63. def enumEgualsImpl(eguals: Boolean)(op: Modifier): String

  64. var enumPackageName: String

  65. val enums: Map[SpinalEnum, Set[SpinalEnumEncoding]]

    Definition Classes
    Backend
  66. final def eq(arg0: AnyRef): Boolean

    Definition Classes
    AnyRef
  67. def equals(arg0: Any): Boolean

    Definition Classes
    AnyRef → Any
  68. def extractBitVectorFixed(func: Modifier): String

  69. def extractBitVectorFloating(func: Modifier): String

  70. def extractBoolFixed(func: Modifier): String

  71. def extractBoolFloating(func: Modifier): String

  72. def fillNodeConsumer(): Unit

    Definition Classes
    Backend
  73. def finalize(): Unit

    Attributes
    protected[java.lang]
    Definition Classes
    AnyRef
    Annotations
    @throws( classOf[java.lang.Throwable] )
  74. var forceMemToBlackboxTranslation: Boolean

    Definition Classes
    Backend
  75. final def getClass(): Class[_]

    Definition Classes
    AnyRef → Any
  76. def getEnumDebugType(spinalEnum: SpinalEnum): String

  77. def getEnumToDebugFuntion(spinalEnum: SpinalEnum, source: SpinalEnumEncoding): String

  78. def getReEncodingFuntion(spinalEnum: SpinalEnum, source: SpinalEnumEncoding, target: SpinalEnumEncoding): String

  79. def getSensitivity(nodes: Iterable[Node], includeNodes: Boolean): Set[Node]

  80. var globalData: GlobalData

    Definition Classes
    Backend
  81. val globalScope: Scope

    Definition Classes
    Backend
  82. def hashCode(): Int

    Definition Classes
    AnyRef → Any
  83. def inferWidth(): Unit

    Definition Classes
    Backend
  84. def ioStdLogicVectorRestoreNames(map: HashMap[BaseType, WrappedStuff]): Unit

  85. def ioStdLogicVectorWrapNames(): HashMap[BaseType, WrappedStuff]

  86. final def isInstanceOf[T0]: Boolean

    Definition Classes
    Any
  87. def isReferenceable(node: Node): Boolean

    Definition Classes
    VhdlBase
  88. var jsonReportPath: String

    Definition Classes
    Backend
  89. var memBitsMaskKind: MemBitsMaskKind

  90. val modifierImplMap: Map[String, (Modifier) ⇒ String]

  91. def nameBinding(): Unit

    Definition Classes
    Backend
  92. def nameComponentDeclaration(c: Component): Unit

    Definition Classes
    Backend
  93. def nameNodesByReflection(): Unit

    Definition Classes
    Backend
  94. final def ne(arg0: AnyRef): Boolean

    Definition Classes
    AnyRef
  95. def normalizeNodeInputs(): Unit

    Definition Classes
    Backend
  96. final def notify(): Unit

    Definition Classes
    AnyRef
  97. final def notifyAll(): Unit

    Definition Classes
    AnyRef
  98. var onlyStdLogicVectorTopLevelIo: Boolean

  99. val opThatNeedBoolCast: Set[String]

  100. def opThatNeedBoolCastGen(a: String, b: String): List[String]

  101. def operatorImplAsBitsToEnum(func: Modifier): String

  102. def operatorImplAsEnumToBits(func: Modifier): String

  103. def operatorImplAsEnumToEnum(func: Modifier): String

  104. def operatorImplAsFunction(vhd: String)(func: Modifier): String

  105. def operatorImplAsOperator(vhd: String)(op: Modifier): String

  106. def orderComponentsNodes(): Unit

    Definition Classes
    Backend
  107. var out: FileWriter

  108. var outputFilePath: String

  109. var packageName: String

  110. def postWidthInferationChecks(): Unit

    Definition Classes
    Backend
  111. def printStates(): Unit

    Definition Classes
    Backend
  112. def propagateBaseTypeWidth(): Unit

    Definition Classes
    Backend
  113. def pullClockDomains(): Unit

    Definition Classes
    Backend
  114. def removeComponentThatNeedNoHdlEmit(): ArrayBuffer[Component]

    Definition Classes
    Backend
  115. def removeNodeConsumer(): Unit

    Definition Classes
    Backend
  116. def replaceMemByBlackBox_simplifyWriteReadWithSameAddress(): Unit

    Definition Classes
    Backend
  117. val reservedKeyWords: Set[String]

    Definition Classes
    Backend
  118. def resizeFunction(vhdlFunc: String)(func: Modifier): String

  119. def shiftLeftByIntImpl(func: Modifier): String

  120. def shiftRightByIntImpl(func: Modifier): String

  121. def simplifyBlacBoxGenerics(): Unit

    Definition Classes
    Backend
  122. def simplifyNodes(): Unit

    Definition Classes
    Backend
  123. var sortedComponents: ArrayBuffer[Component]

    Definition Classes
    Backend
  124. final def synchronized[T0](arg0: ⇒ T0): T0

    Definition Classes
    AnyRef
  125. def toSpinalEnumCraft[T <: SpinalEnum](that: Any): SpinalEnumCraft[T]

  126. def toString(): String

    Definition Classes
    AnyRef → Any
  127. var topLevel: Component

    Definition Classes
    Backend
  128. def trickDontCares(): Unit

    Definition Classes
    Backend
  129. val vhdlKeyWords: Set[String]

    Definition Classes
    VhdlBase
  130. final def wait(): Unit

    Definition Classes
    AnyRef
    Annotations
    @throws( ... )
  131. final def wait(arg0: Long, arg1: Int): Unit

    Definition Classes
    AnyRef
    Annotations
    @throws( ... )
  132. final def wait(arg0: Long): Unit

    Definition Classes
    AnyRef
    Annotations
    @throws( ... )
  133. def walkNodesBlackBoxGenerics(): Stack[Node]

    Definition Classes
    Backend
  134. def walkNodesDefautStack: Stack[Node]

    Definition Classes
    Backend

Inherited from VhdlBase

Inherited from Backend

Inherited from AnyRef

Inherited from Any

Ungrouped