spinal.core

PhaseVerilog

Related Doc: package core

class PhaseVerilog extends Phase with VerilogBase

Created by PIC32F_USER on 05/06/2016.

Linear Supertypes
Ordering
  1. Alphabetic
  2. By inheritance
Inherited
  1. PhaseVerilog
  2. VerilogBase
  3. VhdlVerilogBase
  4. Phase
  5. AnyRef
  6. Any
  1. Hide All
  2. Show all
Learn more about member selection
Visibility
  1. Public
  2. All

Instance Constructors

  1. new PhaseVerilog(pc: PhaseContext)

Type Members

  1. class Process extends AnyRef

    Definition Classes
    VhdlVerilogBase

Value Members

  1. final def !=(arg0: Any): Boolean

    Definition Classes
    AnyRef → Any
  2. final def ##(): Int

    Definition Classes
    AnyRef → Any
  3. final def ==(arg0: Any): Boolean

    Definition Classes
    AnyRef → Any
  4. final def asInstanceOf[T0]: T0

    Definition Classes
    Any
  5. def clone(): AnyRef

    Attributes
    protected[java.lang]
    Definition Classes
    AnyRef
    Annotations
    @throws( ... )
  6. def compile(component: Component): Unit

  7. def emit(component: Component): String

  8. def emitAssignement(to: Node, from: Node, ret: StringBuilder, tab: String, assignementKind: String): Unit

  9. def emitAssignementLevel(context: AssignementLevel, ret: StringBuilder, tab: String, assignementKind: String, isElseIf: Boolean = false): Unit

  10. def emitAsyncronous(component: Component, ret: StringBuilder, funcRet: StringBuilder): Unit

  11. def emitAttributes(node: Node): String

  12. def emitClockEdge(clock: Bool, edgeKind: EdgeKind): String

    Definition Classes
    VerilogBase
  13. def emitComponentInstances(component: Component, ret: StringBuilder): Unit

  14. def emitDataType(node: Node): String

    Definition Classes
    VerilogBase
  15. def emitDebug(component: Component, ret: StringBuilder, enumDebugSignals: ArrayBuffer[SpinalEnumCraft[_]]): Unit

  16. def emitDirection(baseType: BaseType): String

    Definition Classes
    VerilogBase
  17. def emitEnumLiteral[T <: SpinalEnum](enum: SpinalEnumElement[T], encoding: SpinalEnumEncoding, prefix: String = "`"): String

    Definition Classes
    VerilogBase
  18. def emitEnumPackage(out: FileWriter): Unit

  19. def emitEnumType(enum: SpinalEnum, encoding: SpinalEnumEncoding, prefix: String = "`"): String

    Definition Classes
    VerilogBase
  20. def emitEnumType[T <: SpinalEnum](enum: SpinalEnumCraft[T], prefix: String): String

    Definition Classes
    VerilogBase
  21. def emitFunctions(component: Component, ret: StringBuilder): Unit

  22. def emitLogic(node: Node): String

  23. def emitModuleContent(component: Component, builder: ComponentBuilder): Unit

  24. def emitModuleIo(component: Component, builder: ComponentBuilder): Unit

  25. def emitRange(node: Widthable): String

    Definition Classes
    VerilogBase
  26. def emitReference(node: Node): String

    Definition Classes
    VerilogBase
  27. def emitResetEdge(reset: Bool, polarity: ActiveKind): String

    Definition Classes
    VerilogBase
  28. def emitSignal(ref: Node, typeNode: Node): String

    Definition Classes
    VerilogBase
  29. def emitSignals(component: Component, ret: StringBuilder, enumDebugSignals: ArrayBuffer[SpinalEnumCraft[_]]): Unit

  30. def emitSyncronous(component: Component, ret: StringBuilder): Unit

  31. val emitedComponent: Map[ComponentBuilder, ComponentBuilder]

  32. val emitedComponentRef: Map[Component, Component]

  33. def enumEgualsImpl(eguals: Boolean)(op: Modifier): String

  34. final def eq(arg0: AnyRef): Boolean

    Definition Classes
    AnyRef
  35. def equals(arg0: Any): Boolean

    Definition Classes
    AnyRef → Any
  36. def extractBitVectorFixed(func: Modifier): String

  37. def extractBitVectorFloating(func: Modifier): String

  38. def extractBoolFixed(func: Modifier): String

  39. def extractBoolFloating(func: Modifier): String

  40. def finalize(): Unit

    Attributes
    protected[java.lang]
    Definition Classes
    AnyRef
    Annotations
    @throws( classOf[java.lang.Throwable] )
  41. def getAsyncProcesses(component: Component): Seq[Process]

    Definition Classes
    VhdlVerilogBase
  42. final def getClass(): Class[_]

    Definition Classes
    AnyRef → Any
  43. def getEnumDebugType(spinalEnum: SpinalEnum): String

  44. def getEnumToDebugFuntion(spinalEnum: SpinalEnum, source: SpinalEnumEncoding): String

  45. def getReEncodingFuntion(spinalEnum: SpinalEnum, source: SpinalEnumEncoding, target: SpinalEnumEncoding): String

  46. def getSensitivity(nodes: Iterable[Node], includeNodes: Boolean): Set[Node]

    Definition Classes
    VhdlVerilogBase
  47. def hashCode(): Int

    Definition Classes
    AnyRef → Any
  48. def impl(): Unit

    Definition Classes
    PhaseVerilogPhase
  49. final def isInstanceOf[T0]: Boolean

    Definition Classes
    Any
  50. def isReferenceable(node: Node): Boolean

    Definition Classes
    VhdlVerilogBase
  51. var memBitsMaskKind: MemBitsMaskKind

  52. val modifierImplMap: Map[String, (Modifier) ⇒ String]

  53. final def ne(arg0: AnyRef): Boolean

    Definition Classes
    AnyRef
  54. final def notify(): Unit

    Definition Classes
    AnyRef
  55. final def notifyAll(): Unit

    Definition Classes
    AnyRef
  56. def operatorImplAsBinaryOperator(vhd: String)(op: Modifier): String

  57. def operatorImplAsBinaryOperatorSigned(vhd: String)(op: Modifier): String

  58. def operatorImplAsCat(op: Modifier): String

  59. def operatorImplAsEnumToEnum(func: Modifier): String

  60. def operatorImplAsFunction(vhd: String)(func: Modifier): String

  61. def operatorImplAsMux(func: Modifier): String

  62. def operatorImplAsNoTransformation(func: Modifier): String

  63. def operatorImplAsSigned(func: Modifier): String

  64. def operatorImplAsUnaryOperator(vhd: String)(op: Modifier): String

  65. var outFile: FileWriter

  66. def shiftLeftByIntImpl(func: Modifier): String

  67. def shiftRightByIntImpl(func: Modifier): String

  68. def signalNeedProcess(baseType: BaseType): Boolean

  69. final def synchronized[T0](arg0: ⇒ T0): T0

    Definition Classes
    AnyRef
  70. def toSpinalEnumCraft[T <: SpinalEnum](that: Any): SpinalEnumCraft[T]

  71. def toString(): String

    Definition Classes
    AnyRef → Any
  72. def unimplementedModifier(message: String)(op: Modifier): String

  73. final def wait(): Unit

    Definition Classes
    AnyRef
    Annotations
    @throws( ... )
  74. final def wait(arg0: Long, arg1: Int): Unit

    Definition Classes
    AnyRef
    Annotations
    @throws( ... )
  75. final def wait(arg0: Long): Unit

    Definition Classes
    AnyRef
    Annotations
    @throws( ... )

Inherited from VerilogBase

Inherited from VhdlVerilogBase

Inherited from Phase

Inherited from AnyRef

Inherited from Any

Ungrouped