spinal

core

package core

Linear Supertypes
Ordering
  1. Alphabetic
  2. By inheritance
Inherited
  1. core
  2. BaseTypeCast
  3. UFixCast
  4. SFixCast
  5. BitsCast
  6. SIntCast
  7. UIntCast
  8. BaseTypeFactory
  9. UFixFactory
  10. SFixFactory
  11. TypeFactory
  12. VecFactory
  13. SIntFactory
  14. UIntFactory
  15. BitsFactory
  16. BoolFactory
  17. AnyRef
  18. Any
  1. Hide All
  2. Show all
Learn more about member selection
Visibility
  1. Public
  2. All

Type Members

  1. trait ActiveKind extends AnyRef

  2. trait Area extends Nameable with ContextUser with OwnableRef with ScalaLocated

  3. class AssertNode extends SyncNode

  4. trait AssertNodeSeverity extends AnyRef

  5. trait Assignable extends AnyRef

  6. class AssignedBits extends AnyRef

  7. class AssignedRange extends AnyRef

  8. class AssignementLevel extends AnyRef

  9. case class AssignementLevelCmd(that: Node, by: Node) extends Product with Serializable

  10. trait AssignementLevelNode extends AnyRef

  11. case class AssignementLevelSimple(that: Node, by: Node) extends AssignementLevelNode with Product with Serializable

  12. class AssignementLevelSwitch extends AssignementLevelNode

  13. class AssignementLevelWhen extends AssignementLevelNode

  14. abstract class AssignementNode extends Node

  15. abstract class AssignementNodeWidthable extends AssignementNode with Widthable

  16. trait AssignementTreePart extends AnyRef

  17. trait Attribute extends SpinalTag

    Created by PIC18F on 09.02.2015.

  18. class AttributeFlag extends Attribute

  19. class AttributeString extends Attribute

  20. abstract class BaseType extends Node with Data with Nameable with AssignementTreePart

  21. trait BaseTypeCast extends UIntCast with SIntCast with BitsCast with SFixCast with UFixCast

  22. trait BaseTypeFactory extends BoolFactory with BitsFactory with UIntFactory with SIntFactory with VecFactory with SFixFactory with UFixFactory

  23. case class BigIntBuilder(i: BigInt) extends Product with Serializable

  24. abstract class BinaryOperator extends Operator

  25. abstract class BinaryOperatorWidthableInputs extends BinaryOperator

  26. class BitAssignmentFixed extends AssignementNodeWidthable with CheckWidth

  27. class BitAssignmentFloating extends AssignementNodeWidthable

  28. case class BitCount(value: Int) extends Product with Serializable

  29. abstract class BitVector extends BaseType with Widthable with CheckWidth

  30. abstract class BitVectorLiteral extends Node with Literal with Widthable

  31. abstract class BitVectorLiteralFactory[T <: BitVector] extends AnyRef

  32. class BitVectorPimper[T <: BitVector] extends AnyRef

  33. class Bits extends BitVector with DataPrimitives[Bits]

  34. class BitsAllToLiteral extends Node with Literal with Widthable

  35. trait BitsCast extends AnyRef

    Created by PIC18F on 16.01.2015.

  36. trait BitsFactory extends AnyRef

  37. class BitsLiteral extends BitVectorLiteral

  38. abstract class BlackBox extends Component with SpinalTagReady

  39. abstract class BlackBoxULogic extends BlackBox

  40. class Bool extends BaseType with DataPrimitives[Bool]

  41. trait BoolFactory extends AnyRef

  42. class BoolLiteral extends Node with Literal

  43. class Bundle extends MultiData with Nameable with OverridedEqualsHashCode

  44. class BundleCase extends Bundle

  45. class CaseContext extends ConditionalContext

  46. abstract class Cast extends Modifier

  47. abstract class CastBitVectorToBitVector extends Cast with Widthable

  48. class CastBitsToEnum extends Cast with InferableEnumEncodingImpl with CheckWidth

  49. class CastBitsToSInt extends CastBitVectorToBitVector

  50. class CastBitsToUInt extends CastBitVectorToBitVector

  51. class CastBoolToBits extends Cast with Widthable

  52. class CastEnumToBits extends Cast with Widthable

  53. class CastEnumToEnum extends Cast with InferableEnumEncodingImpl

  54. class CastSIntToBits extends CastBitVectorToBitVector

  55. class CastSIntToUInt extends CastBitVectorToBitVector

  56. class CastUIntToBits extends CastBitVectorToBitVector

  57. class CastUIntToSInt extends CastBitVectorToBitVector

  58. trait CheckWidth extends AnyRef

  59. class ClockDomain extends AnyRef

  60. trait ClockDomainBoolTag extends SpinalTag

  61. case class ClockDomainConfig(clockEdge: EdgeKind = RISING, resetKind: ResetKind = ASYNC, resetActiveLevel: ActiveKind = HIGH, softResetActiveLevel: ActiveKind = HIGH, clockEnableActiveLevel: ActiveKind = HIGH) extends Product with Serializable

  62. case class ClockDomainTag(clockDomain: ClockDomain) extends SpinalTag with Product with Serializable

  63. class ClockEnableArea extends Area with DelayedInit

  64. case class ClockEnableTag(clockDomain: ClockDomain) extends ClockDomainBoolTag with Product with Serializable

  65. case class ClockTag(clockDomain: ClockDomain) extends ClockDomainBoolTag with Product with Serializable

  66. class ClockingArea extends Area with DelayedInit

  67. abstract class Component extends NameableByComponent with GlobalDataUser with ScalaLocated with DelayedInit with Stackable with OwnableRef

  68. class ComponentBuilder extends AnyRef

  69. trait ConditionalContext extends GlobalDataUser

    Created by PIC18F on 11.01.2015.

  70. abstract class ConstantOperator extends Operator

  71. abstract class ConstantOperatorWidthableInputs extends ConstantOperator

  72. trait ContextUser extends GlobalDataUser

  73. trait Data extends ContextUser with NameableByComponent with Assignable with SpinalTagReady with GlobalDataUser with ScalaLocated with OwnableRef

  74. class DataPimper[T <: Data] extends DataPrimitives[T]

  75. trait DataPrimitives[T <: Data] extends AnyRef

  76. trait DataWrapper extends Data

  77. class DontCareNode extends NodeWithoutInputs

  78. class DontCareNodeFixed extends DontCareNode with Widthable

  79. class DontCareNodeInfered extends DontCareNode with Widthable

  80. case class DoubleBuilder(d: Double) extends Product with Serializable

  81. trait DummyTrait extends AnyRef

  82. case class DumpWaveConfig(depth: Int = 0, vcdPath: String = "wave.vcd") extends Product with Serializable

  83. trait EdgeKind extends AnyRef

    Created by PIC18F on 21.08.2014.

  84. class EnumData extends SpinalEnum

  85. trait EnumEncoded extends AnyRef

  86. class EnumFsm extends SpinalEnum

  87. class EnumLiteral[T <: SpinalEnum] extends Node with Literal with InferableEnumEncodingImpl

  88. case class ExpNumber(value: Int) extends Product with Serializable

  89. abstract class Extract extends Modifier

  90. abstract class ExtractBitsVectorFixed extends Extract with WidthProvider with CheckWidth

  91. class ExtractBitsVectorFixedFromBits extends ExtractBitsVectorFixed

  92. class ExtractBitsVectorFixedFromSInt extends ExtractBitsVectorFixed

  93. class ExtractBitsVectorFixedFromUInt extends ExtractBitsVectorFixed

  94. abstract class ExtractBitsVectorFloating extends Extract with WidthProvider

  95. class ExtractBitsVectorFloatingFromBits extends ExtractBitsVectorFloating

  96. class ExtractBitsVectorFloatingFromSInt extends ExtractBitsVectorFloating

  97. class ExtractBitsVectorFloatingFromUInt extends ExtractBitsVectorFloating

  98. abstract class ExtractBoolFixed extends Extract with CheckWidth

  99. class ExtractBoolFixedFromBits extends ExtractBoolFixed

  100. class ExtractBoolFixedFromSInt extends ExtractBoolFixed

  101. class ExtractBoolFixedFromUInt extends ExtractBoolFixed

  102. abstract class ExtractBoolFloating extends Extract

  103. class ExtractBoolFloatingFromBits extends ExtractBoolFloating

  104. class ExtractBoolFloatingFromSInt extends ExtractBoolFloating

  105. class ExtractBoolFloatingFromUInt extends ExtractBoolFloating

  106. case class FixedFrequency(value: Double) extends IClockDomainFrequency with Product with Serializable

  107. class Generic extends AnyRef

    Created by PIC18F on 24.01.2015.

  108. class GlobalData extends AnyRef

  109. trait GlobalDataUser extends AnyRef

  110. trait IClockDomainFrequency extends AnyRef

  111. trait IODirection extends BaseTypeFactory

  112. abstract class ImplicitArea[T] extends Area

  113. trait InferableEnumEncoding extends AnyRef

  114. trait InferableEnumEncodingImpl extends EnumEncoded with InferableEnumEncoding with ContextUser with ScalaLocated

  115. trait InferableEnumEncodingImplChoice extends AnyRef

  116. final class IntBuilder extends AnyVal

  117. trait Literal extends Node

  118. implicit class LiteralBuilder extends AnyRef

  119. case class LiteralInt(value: BigInt) extends Product with Serializable

  120. class MaskedLiteral extends AnyRef

  121. class Mem[T <: Data] extends NodeWithVariableInputsCount with Nameable with Widthable

  122. trait MemBitsMaskKind extends AnyRef

  123. class MemReadAsync extends Node with Widthable with Nameable

  124. class MemReadSync extends SyncNode with Widthable with Nameable

  125. class MemWrite extends SyncNode with Widthable with CheckWidth with Nameable

  126. class MemWriteOrRead_readPart extends SyncNode with Widthable with Nameable

  127. class MemWriteOrRead_writePart extends SyncNode with Widthable with Nameable

  128. class MemWritePayload[T <: Data] extends Bundle

  129. trait MemWriteToReadKind extends AnyRef

    Created by PIC18F on 02.02.2015.

  130. trait MinMaxProvider extends AnyRef

  131. abstract class Modifier extends Node

  132. abstract class MultiData extends Data

  133. class MultiPhase extends Phase

  134. class MultipleAssignmentNode extends Node with AssignementTreePart

  135. class MultipleAssignmentNodeEnum extends MultipleAssignmentNode with InferableEnumEncodingImpl

  136. class MultipleAssignmentNodeWidthable extends MultipleAssignmentNode with Widthable with CheckWidth

  137. abstract class MultiplexedWidthable extends Multiplexer with Widthable

  138. abstract class Multiplexer extends Modifier

  139. class MultiplexerBits extends MultiplexedWidthable

  140. class MultiplexerBool extends Multiplexer

  141. class MultiplexerEnum extends Multiplexer with InferableEnumEncodingImpl

  142. class MultiplexerSInt extends MultiplexedWidthable

  143. class MultiplexerUInt extends MultiplexedWidthable

  144. trait Nameable extends AnyRef

  145. trait NameableByComponent extends Nameable with GlobalDataUser

  146. abstract class Node extends ContextUser with ScalaLocated with SpinalTagReady with GlobalDataUser

  147. abstract class NodeWithVariableInputsCount extends Node

  148. abstract class NodeWithoutInputs extends Node

  149. class NoneNode extends NodeWithoutInputs

  150. trait Num[T <: Data] extends AnyRef

  151. abstract class Operator extends Modifier

  152. trait OverridedEqualsHashCode extends AnyRef

  153. trait OwnableRef extends AnyRef

  154. trait Phase extends AnyRef

  155. class PhaseAddInOutBinding extends Phase

  156. class PhaseAddNodesIntoComponent extends Phase

  157. class PhaseAllocateNames extends Phase

  158. class PhaseAllowNodesToReadInputOfKindComponent extends Phase

  159. class PhaseAllowNodesToReadOutputs extends Phase

  160. class PhaseApplyIoDefault extends Phase

  161. class PhaseCheckCombinationalLoops extends Phase

  162. class PhaseCheckCrossClockDomains extends Phase

  163. class PhaseCheckInferredWidth extends Phase

  164. class PhaseCheckMisc extends Phase

  165. class PhaseCheck_noAsyncNodeWithIncompleteAssignment extends Phase

  166. class PhaseCheck_noNull_noCrossHierarchy_noInputRegister_noDirectionLessIo extends Phase

  167. class PhaseCollectAndNameEnum extends Phase

  168. class PhaseContext extends AnyRef

    Created by PIC32F_USER on 05/06/2016.

  169. class PhaseCreateComponent extends Phase

  170. class PhaseDeleteUselessBaseTypes extends Phase

  171. class PhaseDontSymplifyBasetypeWithComplexAssignement extends Phase

  172. class PhaseDontSymplifyVerilogMismatchingWidth extends Phase

  173. class PhaseDummy extends Phase

  174. class PhaseFillComponentList extends Phase

  175. class PhaseFillNodesConsumers extends Phase

  176. class PhaseInferEnumEncodings extends Phase

  177. class PhaseInferWidth extends Phase

  178. class PhaseMoveLogicTags extends Phase

  179. class PhaseNameBinding extends Phase

  180. class PhaseNameNodesByReflection extends Phase

  181. class PhaseNodesBlackBoxGenerics extends Phase

  182. class PhaseNormalizeNodeInputs extends Phase

  183. class PhaseOrderComponentsNodes extends Phase

  184. class PhasePreWidthInferationChecks extends Phase

  185. class PhasePrintStates extends Phase

  186. class PhasePrintUnUsedSignals extends Phase

  187. class PhasePropagateBaseTypeWidth extends Phase

  188. class PhasePullClockDomains extends Phase

  189. class PhaseRemoveComponentThatNeedNoHdlEmit extends Phase

  190. class PhaseReplaceMemByBlackBox_simplifyWriteReadWithSameAddress extends Phase

  191. class PhaseResizeLiteralSimplify extends Phase

  192. class PhaseSimplifyBlacBoxGenerics extends Phase

  193. class PhaseSimplifyNodes extends Phase

  194. class PhaseVerilog extends Phase with VerilogBase

    Created by PIC32F_USER on 05/06/2016.

  195. class PhaseVhdl extends Phase with VhdlBase

    Created by PIC32F_USER on 05/06/2016.

  196. case class PosCount(value: Int) extends Product with Serializable

  197. class RInt extends MultiData with Num[RInt]

  198. class Ram_1c_1w_1ra extends BlackBox

  199. class Ram_1c_1w_1rs extends BlackBox

  200. class Ram_1wors extends BlackBox

  201. class Ram_1wrs extends BlackBox

  202. implicit class RangePimper extends AnyRef

  203. class RangedAssignmentFixed extends AssignementNodeWidthable with CheckWidth

  204. class RangedAssignmentFloating extends AssignementNodeWidthable with CheckWidth

  205. class Reg extends SyncNode with Assignable with AssignementTreePart

  206. class RegEnum extends Reg with InferableEnumEncodingImpl

  207. class RegWidthable extends Reg with Widthable with CheckWidth

  208. class ResetArea extends Area with DelayedInit

  209. trait ResetKind extends AnyRef

  210. case class ResetTag(clockDomain: ClockDomain) extends ClockDomainBoolTag with Product with Serializable

  211. abstract class Resize extends Modifier with Widthable

  212. class ResizeBits extends Resize

  213. class ResizeSInt extends Resize

  214. class ResizeUInt extends Resize

  215. class SFix extends XFix[SFix, SInt]

  216. class SFix2D extends Bundle

  217. trait SFixCast extends AnyRef

  218. trait SFixFactory extends TypeFactory

    Created by PIC on 19.05.2015.

  219. class SInt extends BitVector with Num[SInt] with MinMaxProvider with DataPrimitives[SInt]

  220. trait SIntCast extends AnyRef

    Created by PIC18F on 21.08.2014.

  221. trait SIntFactory extends AnyRef

  222. class SIntLiteral extends BitVectorLiteral

  223. implicit class SIntPimper extends AnyRef

  224. class STime extends AnyRef

  225. class SafeStack[T] extends AnyRef

  226. class SafeStackWithStackable[T <: Stackable] extends SafeStack[T]

  227. trait ScalaLocated extends GlobalDataUser

  228. class Scope extends AnyRef

  229. case class SpinalConfig(mode: SpinalMode = null, debug: Boolean = false, forceMemToBlackboxTranslation: Boolean = false, defaultConfigForClockDomains: ClockDomainConfig = ClockDomainConfig(), onlyStdLogicVectorAtTopLevelIo: Boolean = false, defaultClockDomainFrequency: IClockDomainFrequency = UnknownFrequency(), targetDirectory: String = ".", dumpWave: DumpWaveConfig = null) extends Product with Serializable

  230. class SpinalEnum extends Nameable

  231. class SpinalEnumCraft[T <: SpinalEnum] extends BaseType with InferableEnumEncodingImpl with DataPrimitives[SpinalEnumCraft[T]]

  232. class SpinalEnumElement[T <: SpinalEnum] extends Nameable

  233. trait SpinalEnumEncoding extends Nameable

  234. class SpinalExit extends Exception

  235. trait SpinalMode extends AnyRef

  236. class SpinalReport[T <: Component] extends AnyRef

  237. trait SpinalTag extends AnyRef

  238. trait SpinalTagReady extends AnyRef

  239. trait Stackable extends AnyRef

  240. class SwitchContext extends ConditionalContext

  241. class SwitchStack extends AnyRef

  242. case class SwitchTreeCase(const: Node, doThat: AssignementLevel) extends Product with Serializable

  243. case class SwitchTreeDefault(doThat: AssignementLevel) extends Product with Serializable

  244. abstract class SyncNode extends Node

  245. class TagDefault extends SpinalTag

    Created by PIC18F on 21.08.2014.

  246. trait TypeFactory extends AnyRef

    Created by PIC18F on 21.08.2014.

  247. class UFix extends XFix[UFix, UInt]

  248. class UFix2D extends Bundle

  249. trait UFixCast extends AnyRef

  250. trait UFixFactory extends TypeFactory

  251. class UInt extends BitVector with Num[UInt] with MinMaxProvider with DataPrimitives[UInt]

  252. case class UInt2D(xBitCount: BitCount, yBitCount: BitCount) extends Bundle with Product with Serializable

  253. trait UIntCast extends AnyRef

  254. trait UIntFactory extends AnyRef

  255. class UIntLiteral extends BitVectorLiteral

  256. implicit class UIntPimper extends AnyRef

  257. abstract class UnaryOperator extends Operator

  258. abstract class UnaryOperatorWidthableInputs extends UnaryOperator

  259. case class UnknownFrequency() extends IClockDomainFrequency with Product with Serializable

  260. class Vec[T <: Data] extends MultiData with IndexedSeq[T]

  261. class VecAccessAssign[T <: BaseType] extends Assignable

  262. trait VecFactory extends AnyRef

  263. trait VerilogBase extends VhdlVerilogBase

    Created by PIC18F on 07.01.2015.

  264. trait VhdlBase extends VhdlVerilogBase

    Created by PIC18F on 07.01.2015.

  265. class VhdlTestBenchBackend extends VhdlBase with Phase

  266. trait VhdlVerilogBase extends AnyRef

  267. class WhenContext extends ConditionalContext

  268. class WhenNode extends Node with AssignementTreePart

  269. class WhenNodeEnum extends WhenNode with InferableEnumEncodingImpl

  270. class WhenNodeWidthable extends WhenNode with Widthable with CheckWidth

  271. trait WidthProvider extends AnyRef

  272. trait Widthable extends WidthProvider with GlobalDataUser with ScalaLocated

  273. abstract class XFix[T <: XFix[T, R], R <: BitVector with Num[R]] extends MultiData

  274. class dontName extends Annotation with Annotation with ClassfileAnnotation

Value Members

  1. object ASYNC extends ResetKind

  2. object AnnotationUtils

  3. object ArrayManager

  4. object AssertNode

  5. object AssignedBits

  6. object AssignedRange

  7. object B extends BitVectorLiteralFactory[Bits]

  8. object BOOT extends ResetKind

  9. object BaseType

  10. implicit def BigIntToBits(that: BigInt): Bits

  11. implicit def BigIntToBuilder(value: BigInt): BigIntBuilder

  12. implicit def BigIntToSInt(that: BigInt): SInt

  13. implicit def BigIntToUInt(that: BigInt): UInt

  14. implicit def BitVectorPimped[T <: BitVector](that: T): BitVectorPimper[T]

  15. def Bits(width: BitCount): Bits

    Definition Classes
    BitsFactory
  16. def Bits(): Bits

    Definition Classes
    BitsFactory
  17. object BitsLiteral

  18. object BitsSet

  19. def Bool(value: Boolean): Bool

    Definition Classes
    BoolFactory
  20. def Bool(): Bool

    Definition Classes
    BoolFactory
  21. object BoolLiteral

  22. object Bundle

    Created by PIC18F on 08.01.2015.

  23. object Cat

  24. object ClockDomain

  25. object Component

  26. object Context

    Created by PIC18F on 21.08.2014.

  27. object Data

  28. implicit def DataPimped[T <: Data](that: T): DataPimper[T]

  29. implicit def DoubleToBuilder(value: Double): DoubleBuilder

  30. object Driver

    Created by PIC18F on 07.01.2015.

  31. object ERROR extends AssertNodeSeverity

  32. object Encoding

  33. implicit def EnumCtoEnumC2[T <: SpinalEnum, T2 <: T](craft: SpinalEnumCraft[T2]): SpinalEnumCraft[T]

  34. implicit def EnumCtoEnumC3[T <: SpinalEnum, T2 <: T](craft: SpinalEnumCraft[T]): SpinalEnumCraft[T2]

  35. implicit def EnumElementToCraft[T <: SpinalEnum](element: SpinalEnumElement[T]): SpinalEnumCraft[T]

  36. implicit def EnumEtoEnumE2[T <: SpinalEnum, T2 <: T](element: SpinalEnumElement[T2]): SpinalEnumElement[T]

  37. implicit def EnumEtoEnumE3[T <: SpinalEnum, T2 <: T](element: SpinalEnumElement[T]): SpinalEnumElement[T2]

  38. object FAILURE extends AssertNodeSeverity

  39. object FALLING extends EdgeKind

  40. def False: Bool

  41. object GlobalData

  42. object HIGH extends ActiveKind

  43. object ImplicitArea

  44. object InferableEnumEncodingImplChoiceAnticipated extends InferableEnumEncodingImplChoice

  45. object InferableEnumEncodingImplChoiceFixed extends InferableEnumEncodingImplChoice

  46. object InferableEnumEncodingImplChoiceInferred extends InferableEnumEncodingImplChoice

  47. object InferableEnumEncodingImplChoiceUndone extends InferableEnumEncodingImplChoice

  48. object InputNormalize

  49. implicit def IntToBits(that: Int): Bits

  50. implicit def IntToBuilder(value: Int): IntBuilder

  51. implicit def IntToSInt(that: Int): SInt

  52. implicit def IntToUInt(that: Int): UInt

  53. object LOW extends ActiveKind

  54. object MULTIPLE_RAM extends MemBitsMaskKind

  55. object MacroTest

  56. object MaskedLiteral

  57. object Mem

  58. object MemReadSync

  59. object MemWrite

  60. object MemWriteOrRead_readPart

  61. object MemWriteOrRead_writePart

  62. object Misc

  63. object MultiData

  64. object MultipleAssignmentNode

  65. object Mux

  66. object NOTE extends AssertNodeSeverity

  67. object Node

  68. object NoneNode

  69. object Operator

  70. object OwnableRef

  71. object PendingError

  72. object RISING extends EdgeKind

  73. object RInt

    Created by PIC32F_USER on 05/01/2016.

  74. object Reg

  75. object RegInit

  76. object RegNext

  77. object RegNextWhen

  78. object RegS

  79. object S extends BitVectorLiteralFactory[SInt]

  80. def SFix(peak: ExpNumber, resolution: ExpNumber): SFix

    Definition Classes
    SFixFactory
  81. def SFix(peak: ExpNumber, width: BitCount): SFix

    Definition Classes
    SFixFactory
  82. object SFix2D

  83. object SINGLE_RAM extends MemBitsMaskKind

  84. def SInt(width: BitCount): SInt

    Definition Classes
    SIntFactory
  85. def SInt(): SInt

    Definition Classes
    SIntFactory
  86. object SIntLiteral

  87. object SYNC extends ResetKind

  88. object ScalaLocated

  89. object ScalaUniverse

  90. object Sel

  91. object Select

  92. object SeqMux

  93. object Spinal

  94. object SpinalConfig extends Serializable

  95. object SpinalError

  96. object SpinalExit extends Serializable

  97. object SpinalInfo

  98. object SpinalLog

  99. object SpinalMap

  100. object SpinalProgress

  101. object SpinalTagReady

  102. object SpinalVerilog

  103. object SpinalVerilogBoot

  104. object SpinalVhdl

  105. object SpinalVhdlBoot

  106. object SpinalWarning

  107. implicit def StringToBits(that: String): Bits

  108. implicit def StringToSInt(that: String): SInt

  109. implicit def StringToUInt(that: String): UInt

  110. object SymplifyNode

  111. object SyncNode

  112. def True: Bool

  113. object U extends BitVectorLiteralFactory[UInt]

  114. def UFix(peak: ExpNumber, resolution: ExpNumber): UFix

    Definition Classes
    UFixFactory
  115. def UFix(peak: ExpNumber, width: BitCount): UFix

    Definition Classes
    UFixFactory
  116. object UFix2D

  117. def UInt(width: BitCount): UInt

    Definition Classes
    UIntFactory
  118. def UInt(): UInt

    Definition Classes
    UIntFactory
  119. object UInt2D extends Serializable

  120. object UIntLiteral

  121. object VHDL extends SpinalMode

  122. def Vec[T <: Data](firstElement: T, followingElements: T*): Vec[T]

    Definition Classes
    VecFactory
  123. def Vec[T <: Data](gen: (Int) ⇒ T, size: Int): Vec[T]

    Definition Classes
    VecFactory
  124. def Vec[T <: Data](gen: Vec[T], size: Int): Vec[Vec[T]]

    Definition Classes
    VecFactory
  125. def Vec[T <: Data](gen: ⇒ T, size: Int): Vec[T]

    Definition Classes
    VecFactory
  126. def Vec[T <: Data](elements: TraversableOnce[T]): Vec[T]

    Definition Classes
    VecFactory
  127. object Verilog extends SpinalMode

  128. object WARNING extends AssertNodeSeverity

  129. object WhenNode

  130. object WidthInfer

  131. def asBits(that: Data): Bits

    Definition Classes
    BitsCast
  132. def asSInt(that: UInt): SInt

    Definition Classes
    SIntCast
  133. def asSInt(that: Bits): SInt

    Definition Classes
    SIntCast
  134. def asSInt(that: Bool): SInt

    Definition Classes
    SIntCast
  135. def asUInt(that: SInt): UInt

    Definition Classes
    UIntCast
  136. def asUInt(that: Bits): UInt

    Definition Classes
    UIntCast
  137. def asUInt(that: Bool): UInt

    Definition Classes
    UIntCast
  138. def assert(assertion: Bool, message: String, severity: AssertNodeSeverity): Unit

  139. def assert(assertion: Bool, severity: AssertNodeSeverity): Unit

  140. def assert(assertion: Bool, message: String): Unit

  141. def assert(assertion: Bool): Unit

  142. final def assert(assertion: Boolean, message: ⇒ Any): Unit

    Annotations
    @elidable( ASSERTION ) @inline()
  143. def assert(assertion: Boolean): Unit

    Annotations
    @elidable( ASSERTION )
  144. object binaryOneHot extends SpinalEnumEncoding

  145. object binarySequancial extends SpinalEnumEncoding

  146. object cloneOf

  147. object cloneable

  148. object crossClockBuffer extends SpinalTag

  149. object crossClockDomain extends SpinalTag

  150. object default

  151. object dontCare extends MemWriteToReadKind

  152. macro def enum(param: Symbol*): Any

  153. def fill[T <: Data](size: Int)(gen: ⇒ T): Vec[T]

    Definition Classes
    VecFactory
  154. object ifGen

  155. implicit lazy val implicitConversions: implicitConversions

  156. object in extends IODirection

  157. object inWithNull extends IODirection

  158. object inferred extends SpinalEnumEncoding

  159. object is

  160. object isPow2

  161. object log2Up

  162. object native extends SpinalEnumEncoding

  163. object out extends IODirection

  164. object outWithNull extends IODirection

  165. def postTypeFactory[T <: Data](that: T): T

    Definition Classes
    TypeFactory
  166. implicit lazy val postfixOps: postfixOps

  167. object randomBoot extends SpinalTag

  168. object readFirst extends MemWriteToReadKind

  169. implicit lazy val reflectiveCalls: reflectiveCalls

  170. def report(message: String, severity: AssertNodeSeverity = NOTE): Unit

  171. object roundUp

  172. object signalCache

  173. object switch

  174. def tabulate[T <: Data](size: Int)(gen: (Int) ⇒ T): Vec[T]

    Definition Classes
    VecFactory
  175. object tagAutoResize extends SpinalTag

  176. object tagTruncated extends SpinalTag

  177. def toSFix(sint: SInt): SFix

    Definition Classes
    SFixCast
  178. def toSInt(that: SFix): SInt

    Definition Classes
    SIntCast
  179. def toUFix(uint: UInt): UFix

    Definition Classes
    UFixCast
  180. def toUInt(that: UFix): UInt

    Definition Classes
    UIntCast
  181. object uLogic extends SpinalTag

  182. object unusedTag extends SpinalTag

  183. object when

  184. object widthOf

  185. object writeFirst extends MemWriteToReadKind

Deprecated Value Members

  1. def Vec[T <: Data](size: Int, gen: (Int) ⇒ T): Vec[T]

    Definition Classes
    VecFactory
    Annotations
    @deprecated
    Deprecated
  2. def Vec[T <: Data](size: Int, gen: ⇒ T): Vec[T]

    Definition Classes
    VecFactory
    Annotations
    @deprecated
    Deprecated
  3. object wrap

    Annotations
    @deprecated
    Deprecated

    Use cloneable instead

Inherited from BaseTypeCast

Inherited from UFixCast

Inherited from SFixCast

Inherited from BitsCast

Inherited from SIntCast

Inherited from UIntCast

Inherited from BaseTypeFactory

Inherited from UFixFactory

Inherited from SFixFactory

Inherited from TypeFactory

Inherited from VecFactory

Inherited from SIntFactory

Inherited from UIntFactory

Inherited from BitsFactory

Inherited from BoolFactory

Inherited from AnyRef

Inherited from Any

Ungrouped