Package

spinal

core

Permalink

package core

Linear Supertypes
Ordering
  1. Alphabetic
  2. By Inheritance
Inherited
  1. core
  2. BaseTypeCast
  3. UFixCast
  4. SFixCast
  5. BaseTypeFactory
  6. UFixFactory
  7. SFixFactory
  8. TypeFactory
  9. VecFactory
  10. SIntFactory
  11. UIntFactory
  12. BitsFactory
  13. BoolFactory
  14. AnyRef
  15. Any
  1. Hide All
  2. Show All
Visibility
  1. Public
  2. All

Type Members

  1. class AFix extends MultiData with Num[AFix] with BitwiseOp[AFix] with MinMaxDecimalProvider

    Permalink
  2. trait AllowIoBundle extends AnyRef

    Permalink
  3. trait Area extends NameableByComponent with ContextUser with OwnableRef with ScalaLocated with ValCallbackRec with OverridedEqualsHashCode

    Permalink
  4. trait AreaObject extends Area

    Permalink
  5. trait AreaRoot extends Area

    Permalink
  6. trait AssertNodeSeverity extends AnyRef

    Permalink
  7. trait Assignable extends AnyRef

    Permalink

    Assignable trait

  8. trait Attribute extends SpinalTag

    Permalink
  9. class AttributeFlag extends Attribute

    Permalink
  10. class AttributeInteger extends Attribute

    Permalink
  11. sealed trait AttributeKind extends AnyRef

    Permalink
  12. class AttributeString extends Attribute

    Permalink
  13. abstract class BaseType extends Data with DeclarationStatement with StatementDoubleLinkedContainer[BaseType, AssignmentStatement] with Expression

    Permalink

    Abstract base class of all Spinal types

  14. trait BaseTypeCast extends SFixCast with UFixCast

    Permalink

    Base type Cast

  15. trait BaseTypeFactory extends BoolFactory with BitsFactory with UIntFactory with SIntFactory with VecFactory with SFixFactory with UFixFactory

    Permalink

    Base type factory

  16. class BaseTypePimper[T <: BaseType] extends AnyRef

    Permalink
  17. trait BaseTypePrimitives[T <: BaseType] extends AnyRef

    Permalink
  18. implicit class BigDecimalBuilder extends AnyRef

    Permalink

    BigDecimal Builder

  19. case class BigIntBuilder(i: BigInt) extends Product with Serializable

    Permalink

    BigInt Builder

  20. case class BitCount(value: Int) extends Product with Serializable

    Permalink

    Represent the number of bit of a data

  21. abstract class BitVector extends BaseType with Widthable

    Permalink

    BitVector is a family of types for storing multiple bits of information in a single value.

    BitVector is a family of types for storing multiple bits of information in a single value. This type has three subtypes that can be used to model different behaviours:

    • Bits
    • UInt (unsigned integer)
    • SInt (signed integer)
    See also

    BitVector Documentation

  22. abstract class BitVectorLiteralFactory[T <: BitVector] extends AnyRef

    Permalink

    Base class to create Bit Vector from literal

  23. class Bits extends BitVector with DataPrimitives[Bits] with BaseTypePrimitives[Bits] with BitwiseOp[Bits]

    Permalink

    The Bits type corresponds to a vector of bits that does not convey any arithmetic meaning.

    The Bits type corresponds to a vector of bits that does not convey any arithmetic meaning.

    Example:
    1. val myBits1 = Bits(32 bits)
      val myBits2 = B(25, 8 bits)
      val myBits3 = B"8'xFF"
      val myBits4 = B"1001_0011
    See also

    Bits Documentation

  24. trait BitsFactory extends AnyRef

    Permalink

    Bits factory used for instance by the IODirection to create a in/out Bits

  25. trait BitwiseOp[T <: Data] extends AnyRef

    Permalink

    Bitwise Operation

    Bitwise Operation

    T

    the type which is associated with the bitwise operation

  26. abstract class BlackBox extends Component

    Permalink

    A blackbox allows the user to integrate an existing VHDL/Verilog component into the design by just specifying the interfaces.

    A blackbox allows the user to integrate an existing VHDL/Verilog component into the design by just specifying the interfaces.

    Example:
    1.  class Ram_1w_1r(wordWidth: Int, wordCount: Int) extends BlackBox {
           val generic = new Generic {
               val wordCount = Ram_1w_1r.this.wordCount
               val wordWidth = Ram_1w_1r.this.wordWidth
           }
           val io = new Bundle {
               val clk = in Bool()
               val wr = new Bundle {
                   val en   = in Bool()
                   val addr = in UInt (log2Up(wordCount) bit)
                   val data = in Bits (wordWidth bit)
               }
               val rd = new Bundle {
                   val en   = in Bool()
                   val addr = in UInt (log2Up(wordCount) bit)
                   val data = out Bits (wordWidth bit)
               }
           }
           mapClockDomain(clock=io.clk)
      }
  27. class BlackBoxImpl extends AnyRef

    Permalink
  28. abstract class BlackBoxULogic extends BlackBox

    Permalink

    Create a blackBox with std_ulogic instead of std_logic

  29. class Bool extends BaseType with DataPrimitives[Bool] with BaseTypePrimitives[Bool] with BitwiseOp[Bool]

    Permalink

    The Bool type corresponds to a boolean value (True or False)

    The Bool type corresponds to a boolean value (True or False)

    Example:
    1. val myBool = Bool()
      myBool := False
      myBool := Bool(false)
    See also

    Bool Documentation

  30. case class BoolEdges() extends Bundle with Product with Serializable

    Permalink

    Bundle for the edge detection

  31. trait BoolFactory extends AnyRef

    Permalink

    Bool factory used for instance by the IODirection to create a in/out Bool()

  32. class Bundle extends MultiData with Nameable with ValCallbackRec

    Permalink
  33. class BundleCase extends Bundle

    Permalink
  34. case class ClockDomain(clock: Bool, reset: Bool = null, dummyArg: DummyTrait = null, softReset: Bool = null, clockEnable: Bool = null, config: ClockDomainConfig = GlobalData.get.commonClockConfig, frequency: ClockFrequency = UnknownFrequency(), clockEnableDivisionRate: DivisionRate = ClockDomain.UnknownDivisionRate()) extends SpinalTagReady with Product with Serializable

    Permalink

    clock and reset signals can be combined to create a clock domain.

    clock and reset signals can be combined to create a clock domain. Clock domains could be applied to some area of the design and then all synchronous elements instantiated into this area will then implicitly use this clock domain. Clock domain application work like a stack, which mean, if you are in a given clock domain, you can still apply another clock domain locally

    See also

    ClockDomain Documentation

  35. sealed trait ClockDomainBoolTag extends SpinalTag

    Permalink
  36. case class ClockDomainConfig(clockEdge: EdgeKind = RISING, resetKind: ResetKind = ASYNC, resetActiveLevel: Polarity = HIGH, softResetActiveLevel: Polarity = HIGH, clockEnableActiveLevel: Polarity = HIGH) extends Product with Serializable

    Permalink
  37. case class ClockDomainTag(clockDomain: ClockDomain) extends SpinalTag with Product with Serializable

    Permalink
  38. case class ClockDrivedTag(driver: Bool) extends SpinalTag with Product with Serializable

    Permalink
  39. case class ClockDriverTag(drived: Bool) extends SpinalTag with Product with Serializable

    Permalink
  40. class ClockEnableArea extends Area with PostInitCallback

    Permalink

    Clock Area with a special clock enable

  41. case class ClockEnableTag(clockDomain: ClockDomain) extends ClockDomainBoolTag with Product with Serializable

    Permalink
  42. case class ClockSyncTag(a: Bool, b: Bool) extends SpinalTag with Product with Serializable

    Permalink
  43. case class ClockTag(clockDomain: ClockDomain) extends ClockDomainBoolTag with Product with Serializable

    Permalink
  44. class ClockingArea extends Area with PostInitCallback

    Permalink

    Clock domains could be applied to some area of the design and then all synchronous elements instantiated into this area will then implicitly use this clock domain.

    Clock domains could be applied to some area of the design and then all synchronous elements instantiated into this area will then implicitly use this clock domain.

    See also

    ClockDomain Documentation

  45. class CommentTag extends SpinalTag

    Permalink
  46. abstract class Component extends NameableByComponent with ContextUser with ScalaLocated with PostInitCallback with Stackable with OwnableRef with SpinalTagReady with OverridedEqualsHashCode with ValCallbackRec

    Permalink

    Abstract class used to create a new Component

    Abstract class used to create a new Component

    Example:
    1. class MyAndGate extends Component {
        val io = new Bundle{
          val a,b = in Bool()
          val res = out Bool()
        }
        io.res := io.a & io.b
      }
    See also

    Component Documentation

  47. class Composite[T <: Nameable] extends Area

    Permalink

    Sometime, creating a Component to define some logic is overkill.

    Sometime, creating a Component to define some logic is overkill. For this kind of cases you can use Area to define a group of signals/logic.

    Example:
    1. val tickConter = new Area{
        val tick = Reg(UInt(8 bits) init(0)
        tick := tick + 1
      }
    See also

    Area Documentation

  48. trait ConditionalContext extends GlobalDataUser

    Permalink
  49. trait ContextUser extends GlobalDataUser with ScalaLocated

    Permalink
  50. case class CyclesCount(value: BigInt) extends Product with Serializable

    Permalink

    Cycles number representation

  51. trait Data extends ContextUser with NameableByComponent with Assignable with SpinalTagReady with GlobalDataUser with ScalaLocated with OwnableRef with OverridedEqualsHashCode with InComponent

    Permalink
  52. class DataPimper[T <: Data] extends DataPrimitives[T]

    Permalink

    Should not extends AnyVal, Because it create kind of strange call stack move that make error reporting miss accurate

  53. trait DataPrimitives[T <: Data] extends AnyRef

    Permalink
  54. trait DataWrapper extends Data

    Permalink
  55. class DefaultTag extends SpinalTag

    Permalink
  56. case class Device(vendor: String = "?", family: String = "?", name: String = "?") extends Product with Serializable

    Permalink

    target device

  57. class DontName extends Annotation with Annotation with ClassfileAnnotation

    Permalink
  58. case class DoubleBuilder(d: Double) extends Product with Serializable

    Permalink

    Double Builder

  59. trait DummyTrait extends AnyRef

    Permalink
  60. case class DumpWaveConfig(depth: Int = 0, vcdPath: String = "wave.vcd") extends Product with Serializable

    Permalink
  61. trait DuringWritePolicy extends AnyRef

    Permalink
  62. sealed trait EdgeKind extends AnyRef

    Permalink
  63. class ElseWhenClause extends AnyRef

    Permalink
  64. implicit class ElseWhenClauseBuilder extends AnyRef

    Permalink

    Implicit clause builder for elseWhen

  65. class EnumLiteral[T <: SpinalEnum] extends Literal with InferableEnumEncodingImpl

    Permalink

    Node representation which contains the value of an SpinalEnumElement

  66. class EnumPoison extends Literal with InferableEnumEncodingImpl

    Permalink
  67. case class ExpNumber(value: Int) extends Product with Serializable

    Permalink

    Exponent representation

  68. class ExternalDriverTag extends SpinalTag

    Permalink
  69. case class FixPointConfig(roundType: RoundType, symmetric: Boolean) extends Product with Serializable

    Permalink
  70. type FixedFrequency = core.ClockDomain.FixedFrequency

    Permalink
  71. class GenerationFlags extends AnyRef

    Permalink
  72. class Generic extends AnyRef

    Permalink

    Create a generic for a BlackBox

    Create a generic for a BlackBox

    Example:
    1. class myMemory(sizeMem: Int) extends BlackBox{
          val generic = new Generic{
           val size = sizeMem
          }
          val io = new Bundle { ... }
      }
  73. case class GenericValue(e: Expression) extends SpinalTag with Product with Serializable

    Permalink
  74. class GlobalData extends AnyRef

    Permalink

    Global data

  75. trait GlobalDataUser extends AnyRef

    Permalink

    Get a link to the globalData

  76. class HardType[T <: Data] extends OverridedEqualsHashCode

    Permalink
  77. case class HertzNumber(v: BigDecimal) extends PhysicalNumber[HertzNumber] with Product with Serializable

    Permalink

    Frequency representation

  78. type IClockDomainFrequency = ClockFrequency

    Permalink
  79. trait IODirection extends BaseTypeFactory

    Permalink

    Trait used to set the direction of a data

  80. class IfDefTag extends SpinalTag

    Permalink
  81. abstract class ImplicitArea[T] extends Area

    Permalink

    Create an Area which can be assign to a data

    Create an Area which can be assign to a data

    Example:
    1. class Counter extends ImplicitArea[UInt]{
         val cnt = Reg(UInt(8 bits)
         ...
         override def implicitValue: UInt = cnt
      }
      val myCounter = Counter()
      io.myUInt = myCounter
  82. trait InComponent extends AnyRef

    Permalink
  83. final class IntBuilder extends AnyVal

    Permalink

    Integer Builder

  84. sealed trait Language extends AnyRef

    Permalink
  85. implicit class LiteralBuilder extends AnyRef

    Permalink

    Literal builder S/U/B"e.g. : B"8'xFF"

  86. class MaskedBoolean extends AnyRef

    Permalink

    Masked Literal

    Masked Literal

    Example:
    1. val itMatch = myBits === M"00--10--" // - don't care value
  87. class MaskedLiteral extends AnyRef

    Permalink
  88. class Mem[T <: Data] extends DeclarationStatement with StatementDoubleLinkedContainer[Mem[_], MemPortStatement] with WidthProvider with SpinalTagReady with InComponent

    Permalink
  89. trait MemBlackboxingPolicy extends AnyRef

    Permalink
  90. trait MemPortStatement extends LeafStatement with StatementDoubleLinkedContainerElement[Mem[_], MemPortStatement]

    Permalink
  91. class MemReadAsync extends MemPortStatement with WidthProvider with SpinalTagReady with ContextUser with Expression

    Permalink
  92. class MemReadSync extends MemPortStatement with WidthProvider with SpinalTagReady with ContextUser with Expression

    Permalink
  93. class MemReadWrite extends MemPortStatement with WidthProvider with SpinalTagReady with ContextUser with Expression

    Permalink
  94. case class MemSymbolesMapping(name: String, range: Range) extends Product with Serializable

    Permalink
  95. case class MemSymbolesTag(mapping: Seq[MemSymbolesMapping]) extends SpinalTag with Product with Serializable

    Permalink
  96. trait MemTechnologyKind extends AnyRef

    Permalink
  97. class MemWrite extends MemPortStatement with WidthProvider with SpinalTagReady

    Permalink
  98. class MemWritePayload[T <: Data] extends Bundle

    Permalink
  99. trait MinMaxDecimalProvider extends AnyRef

    Permalink
  100. trait MinMaxProvider extends AnyRef

    Permalink

    Min max base function

  101. type Module = Component

    Permalink
  102. abstract class MultiData extends Data

    Permalink

    Base class for multi data like Vec, Bundle

  103. trait Nameable extends OwnableRef with ContextUser

    Permalink
  104. trait NameableByComponent extends Nameable with GlobalDataUser

    Permalink
  105. class NamingScope extends AnyRef

    Permalink
  106. trait Num[T <: Data] extends AnyRef

    Permalink

    Base operations for numbers

    Base operations for numbers

    T

    the type which is associated with the base operation

  107. trait OverridedEqualsHashCode extends AnyRef

    Permalink
  108. trait OwnableRef extends AnyRef

    Permalink
  109. abstract class PhysicalNumber[T <: PhysicalNumber[_]] extends AnyRef

    Permalink

    Base class for the Physical representation (Hertz, Time, ...)

  110. sealed trait Polarity extends AnyRef

    Permalink
  111. case class PosCount(value: Int) extends Product with Serializable

    Permalink

    Position representation

  112. case class QFormat(width: Int, fraction: Int, signed: Boolean) extends Product with Serializable

    Permalink
  113. class Ram_1w_1ra extends BlackBox

    Permalink

    Ram 1w 1ra

  114. class Ram_1w_1rs extends BlackBox

    Permalink

    Ram 1w 1rs

  115. class Ram_1wors extends BlackBox

    Permalink

    Ram 1wors

  116. class Ram_1wrs extends BlackBox

    Permalink

    Ram 1wrs

  117. class Ram_2c_1w_1rs extends BlackBox

    Permalink

    Ram 2c 1w 1rs

  118. class Ram_2wrs extends BlackBox

    Permalink

    Ram 2wrs

  119. implicit class RangePimper extends AnyRef

    Permalink

    Implicit Range helper

  120. trait ReadUnderWritePolicy extends AnyRef

    Permalink
  121. case class Ref[T](value: T) extends Product with Serializable

    Permalink

    Use to give value by reference to a function

  122. class ResetArea extends Area with PostInitCallback

    Permalink

    ResetArea allow to reset an area with a special reset combining with the current reset (cumulative)

  123. sealed trait ResetKind extends AnyRef

    Permalink
  124. case class ResetTag(clockDomain: ClockDomain) extends ClockDomainBoolTag with Product with Serializable

    Permalink
  125. sealed trait RoundType extends AnyRef

    Permalink
  126. class SFix extends XFix[SFix, SInt]

    Permalink

    Signed fix point

    Signed fix point

    See also

    SFix Documentation

  127. class SFix2D extends Bundle

    Permalink
  128. trait SFixCast extends AnyRef

    Permalink
  129. trait SFixFactory extends TypeFactory

    Permalink
  130. class SInt extends BitVector with Num[SInt] with MinMaxProvider with DataPrimitives[SInt] with BaseTypePrimitives[SInt] with BitwiseOp[SInt]

    Permalink

    The SInt type corresponds to a vector of bits that can be used for signed integer arithmetic.

    The SInt type corresponds to a vector of bits that can be used for signed integer arithmetic.

    Example:
    1. val mySInt = SInt(8 bits)
      mySInt    := S(4, 8 bits) + S"0000_1111"
      mySInt    := S(4) - S"h1A"
    See also

    SInt Documentation

  131. trait SIntFactory extends AnyRef

    Permalink

    SInt factory used for instance by the IODirection to create a in/out SInt

  132. implicit class SIntPimper extends AnyRef

    Permalink

    Implicit SInt helper

  133. class SafeStack[T] extends AnyRef

    Permalink

    Safe Stack

  134. class SafeStackWithStackable[T <: Stackable] extends SafeStack[T]

    Permalink
  135. trait ScalaLocated extends GlobalDataUser

    Permalink
  136. class ScopeProperty[T] extends AnyRef

    Permalink
  137. class ScopePropertyContext extends AnyRef

    Permalink
  138. class ScopePropertyValue extends AnyRef

    Permalink
  139. case class SlicesCount(value: Int) extends Product with Serializable

    Permalink

    Slice size representation

  140. class SlowArea extends ClockingArea

    Permalink

    Define a clock domain which is x time slower than the current clock

  141. case class SpinalConfig(mode: SpinalMode = null, flags: HashSet[Any] = mutable.HashSet[Any](), debugComponents: HashSet[Class[_]] = mutable.HashSet[Class[_]](), keepAll: Boolean = false, defaultConfigForClockDomains: ClockDomainConfig = ClockDomainConfig(), onlyStdLogicVectorAtTopLevelIo: Boolean = false, defaultClockDomainFrequency: IClockDomainFrequency = UnknownFrequency(), targetDirectory: String = ".", oneFilePerComponent: Boolean = false, netlistFileName: String = null, dumpWave: DumpWaveConfig = null, globalPrefix: String = "", privateNamespace: Boolean = false, formalAsserts: Boolean = false, anonymSignalPrefix: String = null, device: Device = Device(), inlineRom: Boolean = false, romReuse: Boolean = false, genVhdlPkg: Boolean = true, verbose: Boolean = false, mergeAsyncProcess: Boolean = false, asyncResetCombSensitivity: Boolean = false, anonymSignalUniqueness: Boolean = false, inlineConditionalExpression: Boolean = false, nameWhenByFile: Boolean = true, noRandBoot: Boolean = false, randBootFixValue: Boolean = true, noAssert: Boolean = false, fixToWithWrap: Boolean = true, headerWithDate: Boolean = false, headerWithRepoHash: Boolean = true, removePruned: Boolean = false, phasesInserters: ArrayBuffer[(ArrayBuffer[Phase]) ⇒ Unit] = ..., transformationPhases: ArrayBuffer[Phase] = ArrayBuffer[Phase](), memBlackBoxers: ArrayBuffer[Phase] = ..., rtlHeader: String = null, scopeProperties: LinkedHashMap[ScopeProperty[_], Any] = ..., _withEnumString: Boolean = true, enumPrefixEnable: Boolean = true, enumGlobalEnable: Boolean = false) extends Product with Serializable

    Permalink

    Spinal configuration for the generation of the RTL

  142. class SpinalEnum extends Nameable with ScalaLocated

    Permalink

    Base class for creating enumeration

    Base class for creating enumeration

    Example:
    1. object MyEnum extends SpinalEnum(binarySequential){
        val s1, s2, s3, s4 = newElement()
      }

      SpinalEnum contains a list of SpinalEnumElement that is the definition of an element. SpinalEnumCraft is the hardware representation of the the element.

    See also

    Enumeration Documentation

  143. class SpinalEnumCraft[T <: SpinalEnum] extends BaseType with InferableEnumEncodingImpl with BaseTypePrimitives[SpinalEnumCraft[T]] with DataPrimitives[SpinalEnumCraft[T]]

    Permalink

    Hardware representation of an enumeration

  144. class SpinalEnumElement[T <: SpinalEnum] extends Nameable

    Permalink

    Definition of an element of the enumeration

  145. trait SpinalEnumEncoding extends Nameable with ScalaLocated

    Permalink

    Trait to define an encoding

  146. class SpinalExit extends Exception

    Permalink
  147. trait SpinalMode extends AnyRef

    Permalink
  148. class SpinalReport[T <: Component] extends AnyRef

    Permalink

    Spinal report give after the generation of the RTL

  149. abstract class SpinalStruct extends BaseType with Nameable with ValCallbackRec with DataPrimitives[SpinalStruct] with Suffixable

    Permalink

    Class representing Verilog Struct and VHDL Record data types.

  150. trait SpinalTag extends AnyRef

    Permalink
  151. trait SpinalTagReady extends AnyRef

    Permalink
  152. trait Stackable extends AnyRef

    Permalink
  153. class SwitchContext extends AnyRef

    Permalink
  154. class TagAFixTruncated extends SpinalTag

    Permalink
  155. case class TimeNumber(v: BigDecimal) extends PhysicalNumber[TimeNumber] with Product with Serializable

    Permalink

    Time representation

  156. class ToBitsPimper extends AnyRef

    Permalink
  157. implicit class Tuple10Pimper extends TuplePimperBase

    Permalink
  158. implicit class Tuple11Pimper extends TuplePimperBase

    Permalink
  159. implicit class Tuple12Pimper extends TuplePimperBase

    Permalink
  160. implicit class Tuple13Pimper extends TuplePimperBase

    Permalink
  161. implicit class Tuple14Pimper extends TuplePimperBase

    Permalink
  162. implicit class Tuple15Pimper extends TuplePimperBase

    Permalink
  163. implicit class Tuple16Pimper extends TuplePimperBase

    Permalink
  164. implicit class Tuple17Pimper extends TuplePimperBase

    Permalink
  165. implicit class Tuple18Pimper extends TuplePimperBase

    Permalink
  166. implicit class Tuple19Pimper extends TuplePimperBase

    Permalink
  167. implicit class Tuple20Pimper extends TuplePimperBase

    Permalink
  168. implicit class Tuple21Pimper extends TuplePimperBase

    Permalink
  169. implicit class Tuple22Pimper extends TuplePimperBase

    Permalink
  170. implicit class Tuple2Pimper extends TuplePimperBase

    Permalink
  171. implicit class Tuple3Pimper extends TuplePimperBase

    Permalink
  172. implicit class Tuple4Pimper extends TuplePimperBase

    Permalink
  173. implicit class Tuple5Pimper extends TuplePimperBase

    Permalink
  174. implicit class Tuple6Pimper extends TuplePimperBase

    Permalink
  175. implicit class Tuple7Pimper extends TuplePimperBase

    Permalink
  176. implicit class Tuple8Pimper extends TuplePimperBase

    Permalink
  177. implicit class Tuple9Pimper extends TuplePimperBase

    Permalink
  178. case class TupleBundle1[T1 <: Data](payloadType1: HardType[T1]) extends TupleBundleBase with Product with Serializable

    Permalink
  179. case class TupleBundle10[T1 <: Data, T2 <: Data, T3 <: Data, T4 <: Data, T5 <: Data, T6 <: Data, T7 <: Data, T8 <: Data, T9 <: Data, T10 <: Data](payloadType1: HardType[T1], payloadType2: HardType[T2], payloadType3: HardType[T3], payloadType4: HardType[T4], payloadType5: HardType[T5], payloadType6: HardType[T6], payloadType7: HardType[T7], payloadType8: HardType[T8], payloadType9: HardType[T9], payloadType10: HardType[T10]) extends TupleBundleBase with Product with Serializable

    Permalink
  180. case class TupleBundle11[T1 <: Data, T2 <: Data, T3 <: Data, T4 <: Data, T5 <: Data, T6 <: Data, T7 <: Data, T8 <: Data, T9 <: Data, T10 <: Data, T11 <: Data](payloadType1: HardType[T1], payloadType2: HardType[T2], payloadType3: HardType[T3], payloadType4: HardType[T4], payloadType5: HardType[T5], payloadType6: HardType[T6], payloadType7: HardType[T7], payloadType8: HardType[T8], payloadType9: HardType[T9], payloadType10: HardType[T10], payloadType11: HardType[T11]) extends TupleBundleBase with Product with Serializable

    Permalink
  181. case class TupleBundle12[T1 <: Data, T2 <: Data, T3 <: Data, T4 <: Data, T5 <: Data, T6 <: Data, T7 <: Data, T8 <: Data, T9 <: Data, T10 <: Data, T11 <: Data, T12 <: Data](payloadType1: HardType[T1], payloadType2: HardType[T2], payloadType3: HardType[T3], payloadType4: HardType[T4], payloadType5: HardType[T5], payloadType6: HardType[T6], payloadType7: HardType[T7], payloadType8: HardType[T8], payloadType9: HardType[T9], payloadType10: HardType[T10], payloadType11: HardType[T11], payloadType12: HardType[T12]) extends TupleBundleBase with Product with Serializable

    Permalink
  182. case class TupleBundle13[T1 <: Data, T2 <: Data, T3 <: Data, T4 <: Data, T5 <: Data, T6 <: Data, T7 <: Data, T8 <: Data, T9 <: Data, T10 <: Data, T11 <: Data, T12 <: Data, T13 <: Data](payloadType1: HardType[T1], payloadType2: HardType[T2], payloadType3: HardType[T3], payloadType4: HardType[T4], payloadType5: HardType[T5], payloadType6: HardType[T6], payloadType7: HardType[T7], payloadType8: HardType[T8], payloadType9: HardType[T9], payloadType10: HardType[T10], payloadType11: HardType[T11], payloadType12: HardType[T12], payloadType13: HardType[T13]) extends TupleBundleBase with Product with Serializable

    Permalink
  183. case class TupleBundle14[T1 <: Data, T2 <: Data, T3 <: Data, T4 <: Data, T5 <: Data, T6 <: Data, T7 <: Data, T8 <: Data, T9 <: Data, T10 <: Data, T11 <: Data, T12 <: Data, T13 <: Data, T14 <: Data](payloadType1: HardType[T1], payloadType2: HardType[T2], payloadType3: HardType[T3], payloadType4: HardType[T4], payloadType5: HardType[T5], payloadType6: HardType[T6], payloadType7: HardType[T7], payloadType8: HardType[T8], payloadType9: HardType[T9], payloadType10: HardType[T10], payloadType11: HardType[T11], payloadType12: HardType[T12], payloadType13: HardType[T13], payloadType14: HardType[T14]) extends TupleBundleBase with Product with Serializable

    Permalink
  184. case class TupleBundle15[T1 <: Data, T2 <: Data, T3 <: Data, T4 <: Data, T5 <: Data, T6 <: Data, T7 <: Data, T8 <: Data, T9 <: Data, T10 <: Data, T11 <: Data, T12 <: Data, T13 <: Data, T14 <: Data, T15 <: Data](payloadType1: HardType[T1], payloadType2: HardType[T2], payloadType3: HardType[T3], payloadType4: HardType[T4], payloadType5: HardType[T5], payloadType6: HardType[T6], payloadType7: HardType[T7], payloadType8: HardType[T8], payloadType9: HardType[T9], payloadType10: HardType[T10], payloadType11: HardType[T11], payloadType12: HardType[T12], payloadType13: HardType[T13], payloadType14: HardType[T14], payloadType15: HardType[T15]) extends TupleBundleBase with Product with Serializable

    Permalink
  185. case class TupleBundle16[T1 <: Data, T2 <: Data, T3 <: Data, T4 <: Data, T5 <: Data, T6 <: Data, T7 <: Data, T8 <: Data, T9 <: Data, T10 <: Data, T11 <: Data, T12 <: Data, T13 <: Data, T14 <: Data, T15 <: Data, T16 <: Data](payloadType1: HardType[T1], payloadType2: HardType[T2], payloadType3: HardType[T3], payloadType4: HardType[T4], payloadType5: HardType[T5], payloadType6: HardType[T6], payloadType7: HardType[T7], payloadType8: HardType[T8], payloadType9: HardType[T9], payloadType10: HardType[T10], payloadType11: HardType[T11], payloadType12: HardType[T12], payloadType13: HardType[T13], payloadType14: HardType[T14], payloadType15: HardType[T15], payloadType16: HardType[T16]) extends TupleBundleBase with Product with Serializable

    Permalink
  186. case class TupleBundle17[T1 <: Data, T2 <: Data, T3 <: Data, T4 <: Data, T5 <: Data, T6 <: Data, T7 <: Data, T8 <: Data, T9 <: Data, T10 <: Data, T11 <: Data, T12 <: Data, T13 <: Data, T14 <: Data, T15 <: Data, T16 <: Data, T17 <: Data](payloadType1: HardType[T1], payloadType2: HardType[T2], payloadType3: HardType[T3], payloadType4: HardType[T4], payloadType5: HardType[T5], payloadType6: HardType[T6], payloadType7: HardType[T7], payloadType8: HardType[T8], payloadType9: HardType[T9], payloadType10: HardType[T10], payloadType11: HardType[T11], payloadType12: HardType[T12], payloadType13: HardType[T13], payloadType14: HardType[T14], payloadType15: HardType[T15], payloadType16: HardType[T16], payloadType17: HardType[T17]) extends TupleBundleBase with Product with Serializable

    Permalink
  187. case class TupleBundle18[T1 <: Data, T2 <: Data, T3 <: Data, T4 <: Data, T5 <: Data, T6 <: Data, T7 <: Data, T8 <: Data, T9 <: Data, T10 <: Data, T11 <: Data, T12 <: Data, T13 <: Data, T14 <: Data, T15 <: Data, T16 <: Data, T17 <: Data, T18 <: Data](payloadType1: HardType[T1], payloadType2: HardType[T2], payloadType3: HardType[T3], payloadType4: HardType[T4], payloadType5: HardType[T5], payloadType6: HardType[T6], payloadType7: HardType[T7], payloadType8: HardType[T8], payloadType9: HardType[T9], payloadType10: HardType[T10], payloadType11: HardType[T11], payloadType12: HardType[T12], payloadType13: HardType[T13], payloadType14: HardType[T14], payloadType15: HardType[T15], payloadType16: HardType[T16], payloadType17: HardType[T17], payloadType18: HardType[T18]) extends TupleBundleBase with Product with Serializable

    Permalink
  188. case class TupleBundle19[T1 <: Data, T2 <: Data, T3 <: Data, T4 <: Data, T5 <: Data, T6 <: Data, T7 <: Data, T8 <: Data, T9 <: Data, T10 <: Data, T11 <: Data, T12 <: Data, T13 <: Data, T14 <: Data, T15 <: Data, T16 <: Data, T17 <: Data, T18 <: Data, T19 <: Data](payloadType1: HardType[T1], payloadType2: HardType[T2], payloadType3: HardType[T3], payloadType4: HardType[T4], payloadType5: HardType[T5], payloadType6: HardType[T6], payloadType7: HardType[T7], payloadType8: HardType[T8], payloadType9: HardType[T9], payloadType10: HardType[T10], payloadType11: HardType[T11], payloadType12: HardType[T12], payloadType13: HardType[T13], payloadType14: HardType[T14], payloadType15: HardType[T15], payloadType16: HardType[T16], payloadType17: HardType[T17], payloadType18: HardType[T18], payloadType19: HardType[T19]) extends TupleBundleBase with Product with Serializable

    Permalink
  189. case class TupleBundle2[T1 <: Data, T2 <: Data](payloadType1: HardType[T1], payloadType2: HardType[T2]) extends TupleBundleBase with Product with Serializable

    Permalink
  190. case class TupleBundle20[T1 <: Data, T2 <: Data, T3 <: Data, T4 <: Data, T5 <: Data, T6 <: Data, T7 <: Data, T8 <: Data, T9 <: Data, T10 <: Data, T11 <: Data, T12 <: Data, T13 <: Data, T14 <: Data, T15 <: Data, T16 <: Data, T17 <: Data, T18 <: Data, T19 <: Data, T20 <: Data](payloadType1: HardType[T1], payloadType2: HardType[T2], payloadType3: HardType[T3], payloadType4: HardType[T4], payloadType5: HardType[T5], payloadType6: HardType[T6], payloadType7: HardType[T7], payloadType8: HardType[T8], payloadType9: HardType[T9], payloadType10: HardType[T10], payloadType11: HardType[T11], payloadType12: HardType[T12], payloadType13: HardType[T13], payloadType14: HardType[T14], payloadType15: HardType[T15], payloadType16: HardType[T16], payloadType17: HardType[T17], payloadType18: HardType[T18], payloadType19: HardType[T19], payloadType20: HardType[T20]) extends TupleBundleBase with Product with Serializable

    Permalink
  191. case class TupleBundle21[T1 <: Data, T2 <: Data, T3 <: Data, T4 <: Data, T5 <: Data, T6 <: Data, T7 <: Data, T8 <: Data, T9 <: Data, T10 <: Data, T11 <: Data, T12 <: Data, T13 <: Data, T14 <: Data, T15 <: Data, T16 <: Data, T17 <: Data, T18 <: Data, T19 <: Data, T20 <: Data, T21 <: Data](payloadType1: HardType[T1], payloadType2: HardType[T2], payloadType3: HardType[T3], payloadType4: HardType[T4], payloadType5: HardType[T5], payloadType6: HardType[T6], payloadType7: HardType[T7], payloadType8: HardType[T8], payloadType9: HardType[T9], payloadType10: HardType[T10], payloadType11: HardType[T11], payloadType12: HardType[T12], payloadType13: HardType[T13], payloadType14: HardType[T14], payloadType15: HardType[T15], payloadType16: HardType[T16], payloadType17: HardType[T17], payloadType18: HardType[T18], payloadType19: HardType[T19], payloadType20: HardType[T20], payloadType21: HardType[T21]) extends TupleBundleBase with Product with Serializable

    Permalink
  192. case class TupleBundle22[T1 <: Data, T2 <: Data, T3 <: Data, T4 <: Data, T5 <: Data, T6 <: Data, T7 <: Data, T8 <: Data, T9 <: Data, T10 <: Data, T11 <: Data, T12 <: Data, T13 <: Data, T14 <: Data, T15 <: Data, T16 <: Data, T17 <: Data, T18 <: Data, T19 <: Data, T20 <: Data, T21 <: Data, T22 <: Data](payloadType1: HardType[T1], payloadType2: HardType[T2], payloadType3: HardType[T3], payloadType4: HardType[T4], payloadType5: HardType[T5], payloadType6: HardType[T6], payloadType7: HardType[T7], payloadType8: HardType[T8], payloadType9: HardType[T9], payloadType10: HardType[T10], payloadType11: HardType[T11], payloadType12: HardType[T12], payloadType13: HardType[T13], payloadType14: HardType[T14], payloadType15: HardType[T15], payloadType16: HardType[T16], payloadType17: HardType[T17], payloadType18: HardType[T18], payloadType19: HardType[T19], payloadType20: HardType[T20], payloadType21: HardType[T21], payloadType22: HardType[T22]) extends TupleBundleBase with Product with Serializable

    Permalink
  193. case class TupleBundle3[T1 <: Data, T2 <: Data, T3 <: Data](payloadType1: HardType[T1], payloadType2: HardType[T2], payloadType3: HardType[T3]) extends TupleBundleBase with Product with Serializable

    Permalink
  194. case class TupleBundle4[T1 <: Data, T2 <: Data, T3 <: Data, T4 <: Data](payloadType1: HardType[T1], payloadType2: HardType[T2], payloadType3: HardType[T3], payloadType4: HardType[T4]) extends TupleBundleBase with Product with Serializable

    Permalink
  195. case class TupleBundle5[T1 <: Data, T2 <: Data, T3 <: Data, T4 <: Data, T5 <: Data](payloadType1: HardType[T1], payloadType2: HardType[T2], payloadType3: HardType[T3], payloadType4: HardType[T4], payloadType5: HardType[T5]) extends TupleBundleBase with Product with Serializable

    Permalink
  196. case class TupleBundle6[T1 <: Data, T2 <: Data, T3 <: Data, T4 <: Data, T5 <: Data, T6 <: Data](payloadType1: HardType[T1], payloadType2: HardType[T2], payloadType3: HardType[T3], payloadType4: HardType[T4], payloadType5: HardType[T5], payloadType6: HardType[T6]) extends TupleBundleBase with Product with Serializable

    Permalink
  197. case class TupleBundle7[T1 <: Data, T2 <: Data, T3 <: Data, T4 <: Data, T5 <: Data, T6 <: Data, T7 <: Data](payloadType1: HardType[T1], payloadType2: HardType[T2], payloadType3: HardType[T3], payloadType4: HardType[T4], payloadType5: HardType[T5], payloadType6: HardType[T6], payloadType7: HardType[T7]) extends TupleBundleBase with Product with Serializable

    Permalink
  198. case class TupleBundle8[T1 <: Data, T2 <: Data, T3 <: Data, T4 <: Data, T5 <: Data, T6 <: Data, T7 <: Data, T8 <: Data](payloadType1: HardType[T1], payloadType2: HardType[T2], payloadType3: HardType[T3], payloadType4: HardType[T4], payloadType5: HardType[T5], payloadType6: HardType[T6], payloadType7: HardType[T7], payloadType8: HardType[T8]) extends TupleBundleBase with Product with Serializable

    Permalink
  199. case class TupleBundle9[T1 <: Data, T2 <: Data, T3 <: Data, T4 <: Data, T5 <: Data, T6 <: Data, T7 <: Data, T8 <: Data, T9 <: Data](payloadType1: HardType[T1], payloadType2: HardType[T2], payloadType3: HardType[T3], payloadType4: HardType[T4], payloadType5: HardType[T5], payloadType6: HardType[T6], payloadType7: HardType[T7], payloadType8: HardType[T8], payloadType9: HardType[T9]) extends TupleBundleBase with Product with Serializable

    Permalink
  200. class TupleBundleBase extends Bundle

    Permalink
  201. class TuplePimperBase extends AnyRef

    Permalink
  202. trait TypeFactory extends AnyRef

    Permalink
  203. class UFix extends XFix[UFix, UInt]

    Permalink

    Unsigned fix point

    Unsigned fix point

    See also

    UFix Documentation

  204. class UFix2D extends Bundle

    Permalink

    Two-dimensional XFix

  205. trait UFixCast extends AnyRef

    Permalink
  206. trait UFixFactory extends TypeFactory

    Permalink
  207. class UInt extends BitVector with Num[UInt] with MinMaxProvider with DataPrimitives[UInt] with BaseTypePrimitives[UInt] with BitwiseOp[UInt]

    Permalink

    The UInt type corresponds to a vector of bits that can be used for unsigned integer arithmetic.

    The UInt type corresponds to a vector of bits that can be used for unsigned integer arithmetic.

    Example:
    1. val myUInt = UInt(8 bits)
       myUInt := U(2,8 bits)
       myUInt := U(2)
       myUInt := U"0000_0101"
       myUInt := U"h1A"
    See also

    UInt Documentation

  208. case class UInt2D(xBitCount: BitCount, yBitCount: BitCount) extends Bundle with Product with Serializable

    Permalink

    Define an UInt 2D point

    Define an UInt 2D point

    xBitCount

    width of the x point

    yBitCount

    width of the y point

    Example:
    1. val positionOnScreen = Reg(UInt2D(log2Up(p.screenResX) bits, log2Up(p.screenResY) bits))
  209. trait UIntFactory extends AnyRef

    Permalink

    UInt factory used for instance by the IODirection to create a in/out UInt

  210. implicit class UIntPimper extends AnyRef

    Permalink

    Implicit UInt helper

  211. type UnknownFrequency = core.ClockDomain.UnknownFrequency

    Permalink
  212. trait ValCallbackRec extends ValCallback

    Permalink

    The Bundle is a composite type that defines a group of named signals (of any SpinalHDL basic type) under a single name.

    The Bundle is a composite type that defines a group of named signals (of any SpinalHDL basic type) under a single name. The Bundle can be used to model data structures, buses and interfaces.

    Example:
    1. val cmd = new Bundle{
        val init   = in Bool()
        val start  = in Bool()
        val result = out Bits(32 bits)
      }
    See also

    Bundle Documentation

  213. class VarAssignementTag extends SpinalTag

    Permalink
  214. class Vec[T <: Data] extends MultiData with IndexedSeq[T]

    Permalink

    The Vec is a composite type that defines a group of indexed signals (of any SpinalHDL basic type) under a single name

    The Vec is a composite type that defines a group of indexed signals (of any SpinalHDL basic type) under a single name

    Example:
    1. val myVecOfSInt = Vec(SInt(8 bits), 2)
    See also

    Vec Documentation

  215. class VecAccessAssign[T <: Data] extends Assignable

    Permalink
  216. class VecBitwisePimper[T <: Data with BitwiseOp[T]] extends BitwiseOp[Vec[T]]

    Permalink
  217. class VecBuilder extends AnyRef

    Permalink
    Definition Classes
    VecFactory
  218. trait VecFactory extends AnyRef

    Permalink

    Vec factory

  219. class WhenContext extends ConditionalContext with ScalaLocated

    Permalink

    else / else if statement

    else / else if statement

    See also

    when Documentation

  220. abstract class XFix[T <: XFix[T, R], R <: BitVector with Num[R]] extends MultiData with MinMaxDecimalProvider

    Permalink

    Base class for SFix and UFix

  221. type dontName = spinal.core.DontName @scala.annotation.meta.field

    Permalink

Value Members

  1. object AF

    Permalink
  2. object AFix

    Permalink
  3. object AFixRounding extends ScopeProperty[TagAFixTruncated]

    Permalink
  4. object ASYNC extends ResetKind

    Permalink
  5. object AllowMixedWidth extends SpinalTag

    Permalink
  6. object AllowPartialyAssignedTag extends SpinalTag

    Permalink
  7. object Analog

    Permalink

    Set a data to Analog

  8. object AnnotationUtils

    Permalink
  9. object ArrayManager

    Permalink
  10. object B extends BitVectorLiteralFactory[Bits]

    Permalink

    Used to create a new Bits or cast to Bits

  11. object BOOT extends ResetKind

    Permalink
  12. object BaseType

    Permalink
  13. implicit def BaseTypePimped[T <: BaseType](that: T): BaseTypePimper[T]

    Permalink
  14. implicit def BigIntToBits(that: BigInt): Bits

    Permalink
  15. implicit def BigIntToBuilder(value: BigInt): BigIntBuilder

    Permalink
  16. implicit def BigIntToSInt(that: BigInt): SInt

    Permalink
  17. implicit def BigIntToUInt(that: BigInt): UInt

    Permalink
  18. def Bits(width: BitCount): Bits

    Permalink

    Create a new Bits of a given width

    Create a new Bits of a given width

    Definition Classes
    BitsFactory
  19. def Bits(u: Unit = null): Bits

    Permalink

    Create a new Bits

    Create a new Bits

    Definition Classes
    BitsFactory
  20. def Bool(value: Boolean): Bool

    Permalink
  21. def Bool(u: DummyTrait = DummyObject): Bool

    Permalink
  22. def Bool: Bool

    Permalink
  23. implicit def BooleanPimped(that: Boolean): BooleanPimped

    Permalink
  24. object COMMENT_ATTRIBUTE extends AttributeKind

    Permalink
  25. object Cat

    Permalink

    Concatenate a list of data

  26. object ClassName

    Permalink
  27. object Clock

    Permalink
  28. object ClockDomain extends Serializable

    Permalink
  29. object ClockDomainStack extends ScopeProperty[Handle[ClockDomain]]

    Permalink
  30. object CombInit

    Permalink

    Declare a register with an initialize value

  31. object Component

    Permalink
  32. object ConditionalContext

    Permalink
  33. object ContextSwapper

    Permalink
  34. object CyclesCount extends Serializable

    Permalink
  35. object DEFAULT_ATTRIBUTE extends AttributeKind

    Permalink
  36. object Data

    Permalink
  37. object DataAssign

    Permalink
  38. implicit def DataPimped[T <: Data](that: T): DataPimper[T]

    Permalink

    Implicit Data helper

  39. val DefaultFixPointConfig: FixPointConfig

    Permalink
  40. object Device extends Serializable

    Permalink
  41. implicit def DoubleToBuilder(value: Double): DoubleBuilder

    Permalink
  42. object Driver

    Permalink
  43. object DslScopeStack extends ScopeProperty[ScopeStatement]

    Permalink
  44. object DummyObject extends DummyTrait

    Permalink
  45. object ERROR extends AssertNodeSeverity

    Permalink
  46. implicit def EnumCtoEnumC2[T <: SpinalEnum, T2 <: T](craft: SpinalEnumCraft[T2]): SpinalEnumCraft[T]

    Permalink
  47. implicit def EnumCtoEnumC3[T <: SpinalEnum, T2 <: T](craft: SpinalEnumCraft[T]): SpinalEnumCraft[T2]

    Permalink
  48. implicit def EnumElementToCraft[T <: SpinalEnum](element: SpinalEnumElement[T]): SpinalEnumCraft[T]

    Permalink
  49. implicit def EnumEtoEnumE2[T <: SpinalEnum, T2 <: T](element: SpinalEnumElement[T2]): SpinalEnumElement[T]

    Permalink

    Implicit senum conversion

  50. implicit def EnumEtoEnumE3[T <: SpinalEnum, T2 <: T](element: SpinalEnumElement[T]): SpinalEnumElement[T2]

    Permalink
  51. object FAILURE extends AssertNodeSeverity

    Permalink
  52. object FALLING extends EdgeKind

    Permalink
  53. def False: Bool

    Permalink
  54. object FixPointProperty extends ScopeProperty[FixPointConfig]

    Permalink
  55. def FixedFrequency(value: HertzNumber): core.ClockDomain.FixedFrequency

    Permalink
  56. object GenerationFlags

    Permalink
  57. object GlobalData

    Permalink
  58. object HIGH extends Polarity

    Permalink
  59. object HardType

    Permalink
  60. object ImplicitArea

    Permalink
  61. object Info

    Permalink
  62. object InitAssign

    Permalink
  63. object InitialAssign

    Permalink
  64. implicit def IntPimped(that: Int): IntPimped

    Permalink
  65. implicit def IntToBits(that: Int): Bits

    Permalink
  66. implicit def IntToBuilder(value: Int): IntBuilder

    Permalink

    Implicit Int/BigInt/Double to Builder

  67. implicit def IntToSInt(that: Int): SInt

    Permalink
  68. implicit def IntToUInt(that: Int): UInt

    Permalink

    Implicit conversion from Int/BigInt/String to UInt/SInt/Bits

  69. object LOW extends Polarity

    Permalink
  70. object Language

    Permalink
  71. object LocatedPendingError

    Permalink
  72. implicit def LongToBits(that: Long): Bits

    Permalink
  73. implicit def LongToSInt(that: Long): SInt

    Permalink
  74. implicit def LongToUInt(that: Long): UInt

    Permalink
  75. val LowCostFixPointConfig: FixPointConfig

    Permalink
  76. object LutInputs extends ScopeProperty[Int]

    Permalink
  77. object MaskedLiteral

    Permalink
  78. object Mem

    Permalink
  79. object MemReadAsync

    Permalink
  80. object MemReadSync

    Permalink
  81. object MemReadWrite

    Permalink
  82. object MemWrite

    Permalink
  83. object Mux

    Permalink

    Mux operation

  84. object NOTE extends AssertNodeSeverity

    Permalink
  85. object Nameable

    Permalink
  86. object OwnableRef

    Permalink
  87. object PendingError

    Permalink
  88. object REPORT_TIME

    Permalink
  89. object RISING extends EdgeKind

    Permalink
  90. object Reg

    Permalink

    Create a register

  91. object RegInit

    Permalink

    Declare a register with an initialize value

  92. object RegNext

    Permalink

    Register a signal of one clock

  93. object RegNextWhen

    Permalink

    Register a signal when a condition is true

  94. object RoundType

    Permalink
  95. object S extends BitVectorLiteralFactory[SInt]

    Permalink

    Used to create a new SInt or cast to SInt

  96. object SF

    Permalink
  97. def SFix(peak: ExpNumber, resolution: ExpNumber): SFix

    Permalink
    Definition Classes
    SFixFactory
  98. def SFix(peak: ExpNumber, width: BitCount): SFix

    Permalink
    Definition Classes
    SFixFactory
  99. object SFix2D

    Permalink

    Two-dimensional SFix

  100. def SInt(width: BitCount): SInt

    Permalink

    Create a new SInt of a given width

    Create a new SInt of a given width

    Definition Classes
    SIntFactory
  101. def SInt(u: Unit = null): SInt

    Permalink

    Create a new SInt

    Create a new SInt

    Definition Classes
    SIntFactory
  102. object SQ

    Permalink
  103. object SYNC extends ResetKind

    Permalink
  104. object ScalaLocated

    Permalink
  105. object ScopeProperty

    Permalink
  106. object Select

    Permalink
  107. object Spinal

    Permalink
  108. object SpinalConfig extends Serializable

    Permalink
  109. object SpinalEnumEncoding

    Permalink

    Used to create a custom encoding

    Used to create a custom encoding

    Example:
    1. object BR extends SpinalEnum{
        val NE, EQ, J, JR = newElement()
        defaultEncoding = SpinalEnumEncoding("opt")(
            EQ -> 0,
            NE -> 1,
            J  -> 2,
            JR -> 3 )
      }
  110. object SpinalError

    Permalink
  111. object SpinalExit extends Serializable

    Permalink
  112. object SpinalInfo

    Permalink
  113. object SpinalLog

    Permalink
  114. object SpinalMap

    Permalink

    Spinal map

  115. object SpinalProgress

    Permalink
  116. object SpinalSystemVerilog

    Permalink
  117. object SpinalTagReady

    Permalink
  118. object SpinalVerilog

    Permalink
  119. object SpinalVhdl

    Permalink
  120. object SpinalWarning

    Permalink
  121. object SwitchStack extends ScopeProperty[SwitchContext]

    Permalink
  122. object SystemVerilog extends SpinalMode

    Permalink
  123. def True: Bool

    Permalink

    True / False definition

  124. object TupleBundle

    Permalink
  125. object U extends BitVectorLiteralFactory[UInt]

    Permalink

    Used to create a new UInt or cast to UInt

  126. object UF

    Permalink
  127. def UFix(peak: ExpNumber, resolution: ExpNumber): UFix

    Permalink
    Definition Classes
    UFixFactory
  128. def UFix(peak: ExpNumber, width: BitCount): UFix

    Permalink
    Definition Classes
    UFixFactory
  129. object UFix2D

    Permalink

    Two-dimensional UFix

  130. def UInt(width: BitCount): UInt

    Permalink

    Create a new UInt of a given width

    Create a new UInt of a given width

    Definition Classes
    UIntFactory
  131. def UInt(u: Unit = null): UInt

    Permalink

    Create a new UInt

    Create a new UInt

    Definition Classes
    UIntFactory
  132. object UInt2D extends Serializable

    Permalink
  133. object UQ

    Permalink
  134. def UnknownFrequency(): core.ClockDomain.UnknownFrequency

    Permalink
  135. object VHDL extends SpinalMode

    Permalink
  136. def Vec[T <: Data](firstElement: T, followingElements: T*): Vec[T]

    Permalink
    Definition Classes
    VecFactory
  137. def Vec[T <: Data](gen: HardType[T], size: Int): Vec[T]

    Permalink
    Definition Classes
    VecFactory
  138. def Vec[T <: Data](gen: ⇒ T, size: Int): Vec[T]

    Permalink
    Definition Classes
    VecFactory
  139. def Vec[T <: Data](elements: TraversableOnce[T], dataType: HardType[T] = null): Vec[T]

    Permalink
    Definition Classes
    VecFactory
  140. val Vec: VecBuilder

    Permalink
    Definition Classes
    VecFactory
  141. implicit def VecBitwisePimped[T <: Data with BitwiseOp[T]](that: Vec[T]): VecBitwisePimper[T]

    Permalink
  142. object Verilator

    Permalink
  143. object Verilog extends SpinalMode

    Permalink
  144. object WARNING extends AssertNodeSeverity

    Permalink
  145. object addDefaultGenericValue extends SpinalTag

    Permalink

    In VHDL add the generic value in the definition of the blackbox

  146. object allowAssignmentOverride extends SpinalTag

    Permalink
  147. object allowDirectionLessIoTag extends SpinalTag

    Permalink
  148. def assert(assertion: Bool, message: Seq[Any], severity: AssertNodeSeverity)(implicit loc: Location): AssertStatement

    Permalink
  149. def assert(assertion: Bool, message: String, severity: AssertNodeSeverity)(implicit loc: Location): AssertStatement

    Permalink
  150. def assert(assertion: Bool, message: Seq[Any])(implicit loc: Location): AssertStatement

    Permalink
  151. def assert(assertion: Bool, message: String)(implicit loc: Location): AssertStatement

    Permalink
  152. def assert(assertion: Bool, severity: AssertNodeSeverity)(implicit loc: Location): AssertStatement

    Permalink
  153. def assert(assertion: Bool)(implicit loc: Location): AssertStatement

    Permalink
  154. final def assert(assertion: Boolean, message: ⇒ Any)(implicit loc: Location): Unit

    Permalink
    Annotations
    @elidable( ASSERTION ) @inline()
  155. def assert(assertion: Boolean): Unit

    Permalink

    Assertion

    Assertion

    Annotations
    @elidable( ASSERTION )
  156. def assume(assertion: Bool)(implicit loc: Location): AssertStatement

    Permalink
  157. def assumeInitial(assertion: Bool)(implicit loc: Location): AssertStatement

    Permalink
  158. object auto extends MemTechnologyKind

    Permalink
  159. object binaryOneHot extends SpinalEnumEncoding

    Permalink

    Binary One hot encoding

    Binary One hot encoding

    Example:
    1. 001, 010, 100
  160. object binarySequential extends SpinalEnumEncoding

    Permalink

    Binary Sequential

    Binary Sequential

    Example:
    1. 000, 001, 010, 011, 100, 101, ....
  161. object blackboxAll extends MemBlackboxingPolicy

    Permalink
  162. object blackboxAllWhatsYouCan extends MemBlackboxingPolicy

    Permalink
  163. object blackboxByteEnables extends MemBlackboxingPolicy

    Permalink
  164. object blackboxOnlyIfRequested extends MemBlackboxingPolicy

    Permalink
  165. object blackboxRequestedAndUninferable extends MemBlackboxingPolicy

    Permalink
  166. object cloneOf

    Permalink

    Return a new data with the same data structure as the given parameter (including bit width)

  167. def cover(assertion: Bool)(implicit loc: Location): AssertStatement

    Permalink
  168. object crossClockBuffer extends SpinalTag

    Permalink
  169. object crossClockDomain extends SpinalTag

    Permalink
  170. object default

    Permalink

    default statement of a switch case

    default statement of a switch case

    See also

    switch Documentation

  171. object distributedLut extends MemTechnologyKind

    Permalink
  172. object doRead extends DuringWritePolicy

    Permalink
  173. object dontCare extends ReadUnderWritePolicy with DuringWritePolicy

    Permalink
  174. object dontRead extends DuringWritePolicy

    Permalink
  175. package fiber

    Permalink
  176. package formal

    Permalink
  177. object getFixRound

    Permalink
  178. object getFixSym

    Permalink
  179. object globalCache

    Permalink
  180. object ifGen

    Permalink
  181. implicit lazy val implicitConversions: implicitConversions

    Permalink

    Scala implicit

  182. object in extends IODirection

    Permalink

    Set a data to input

  183. object inWithNull extends IODirection

    Permalink

    Set a data to in if the data is not null

  184. object inferred extends SpinalEnumEncoding

    Permalink

    Inferred encoding

  185. object inout extends IODirection

    Permalink

    Set a data to inout

  186. package internals

    Permalink
  187. object is

    Permalink

    is statement of a switch case

    is statement of a switch case

    See also

    switch Documentation

  188. object isPow2

    Permalink

    Check if a number is a power of 2

  189. object log2Up

    Permalink

    Give number of bit to encode a given number of states

  190. object native extends SpinalEnumEncoding

    Permalink

    Native encoding

  191. object noBackendCombMerge extends SpinalTag

    Permalink
  192. object noCombinatorialLoopCheck extends SpinalTag

    Permalink
  193. object noNumericType extends SpinalTag

    Permalink

    Transform all unsigned/signed into std_logic_vector

  194. object out extends IODirection

    Permalink

    Set a data to output

  195. object outWithNull extends IODirection

    Permalink

    Set a data to out if the data is not null

  196. def postTypeFactory[T <: Data](that: T): T

    Permalink
    Definition Classes
    TypeFactory
  197. implicit lazy val postfixOps: postfixOps

    Permalink
  198. object ramBlock extends MemTechnologyKind

    Permalink
  199. object randomBoot extends SpinalTag

    Permalink
  200. object readFirst extends ReadUnderWritePolicy

    Permalink
  201. implicit lazy val reflectiveCalls: reflectiveCalls

    Permalink
  202. object registerFile extends MemTechnologyKind

    Permalink
  203. def report(message: Seq[Any], severity: AssertNodeSeverity): AssertStatement

    Permalink
  204. def report(message: String, severity: AssertNodeSeverity): AssertStatement

    Permalink
  205. def report(message: Seq[Any]): AssertStatement

    Permalink
  206. def report(message: String): AssertStatement

    Permalink
  207. object roundUp

    Permalink

    Round up a BigInt

  208. object signalCache

    Permalink
  209. package sim

    Permalink

    Simulation package

  210. object switch

    Permalink

    case/switch statement

    case/switch statement

    Example:
    1. switch(x){
          is(value1){
              //execute when x === value1
          }
          is(value2){
              //execute when x === value2
          }
          default{
             //execute if none of precedent condition meet
          }
       }
    See also

    switch Documentation

  211. object tagAFixResized extends SpinalTag

    Permalink
  212. object tagAutoResize extends SpinalTag

    Permalink
  213. object tagTruncated extends SpinalTag

    Permalink
  214. implicit def tupleBunder10Pimp[T1 <: Data, T2 <: Data, T3 <: Data, T4 <: Data, T5 <: Data, T6 <: Data, T7 <: Data, T8 <: Data, T9 <: Data, T10 <: Data](pimped: (T1, T2, T3, T4, T5, T6, T7, T8, T9, T10)): TupleBundle10[T1, T2, T3, T4, T5, T6, T7, T8, T9, T10]

    Permalink
  215. implicit def tupleBunder11Pimp[T1 <: Data, T2 <: Data, T3 <: Data, T4 <: Data, T5 <: Data, T6 <: Data, T7 <: Data, T8 <: Data, T9 <: Data, T10 <: Data, T11 <: Data](pimped: (T1, T2, T3, T4, T5, T6, T7, T8, T9, T10, T11)): TupleBundle11[T1, T2, T3, T4, T5, T6, T7, T8, T9, T10, T11]

    Permalink
  216. implicit def tupleBunder12Pimp[T1 <: Data, T2 <: Data, T3 <: Data, T4 <: Data, T5 <: Data, T6 <: Data, T7 <: Data, T8 <: Data, T9 <: Data, T10 <: Data, T11 <: Data, T12 <: Data](pimped: (T1, T2, T3, T4, T5, T6, T7, T8, T9, T10, T11, T12)): TupleBundle12[T1, T2, T3, T4, T5, T6, T7, T8, T9, T10, T11, T12]

    Permalink
  217. implicit def tupleBunder13Pimp[T1 <: Data, T2 <: Data, T3 <: Data, T4 <: Data, T5 <: Data, T6 <: Data, T7 <: Data, T8 <: Data, T9 <: Data, T10 <: Data, T11 <: Data, T12 <: Data, T13 <: Data](pimped: (T1, T2, T3, T4, T5, T6, T7, T8, T9, T10, T11, T12, T13)): TupleBundle13[T1, T2, T3, T4, T5, T6, T7, T8, T9, T10, T11, T12, T13]

    Permalink
  218. implicit def tupleBunder14Pimp[T1 <: Data, T2 <: Data, T3 <: Data, T4 <: Data, T5 <: Data, T6 <: Data, T7 <: Data, T8 <: Data, T9 <: Data, T10 <: Data, T11 <: Data, T12 <: Data, T13 <: Data, T14 <: Data](pimped: (T1, T2, T3, T4, T5, T6, T7, T8, T9, T10, T11, T12, T13, T14)): TupleBundle14[T1, T2, T3, T4, T5, T6, T7, T8, T9, T10, T11, T12, T13, T14]

    Permalink
  219. implicit def tupleBunder15Pimp[T1 <: Data, T2 <: Data, T3 <: Data, T4 <: Data, T5 <: Data, T6 <: Data, T7 <: Data, T8 <: Data, T9 <: Data, T10 <: Data, T11 <: Data, T12 <: Data, T13 <: Data, T14 <: Data, T15 <: Data](pimped: (T1, T2, T3, T4, T5, T6, T7, T8, T9, T10, T11, T12, T13, T14, T15)): TupleBundle15[T1, T2, T3, T4, T5, T6, T7, T8, T9, T10, T11, T12, T13, T14, T15]

    Permalink
  220. implicit def tupleBunder16Pimp[T1 <: Data, T2 <: Data, T3 <: Data, T4 <: Data, T5 <: Data, T6 <: Data, T7 <: Data, T8 <: Data, T9 <: Data, T10 <: Data, T11 <: Data, T12 <: Data, T13 <: Data, T14 <: Data, T15 <: Data, T16 <: Data](pimped: (T1, T2, T3, T4, T5, T6, T7, T8, T9, T10, T11, T12, T13, T14, T15, T16)): TupleBundle16[T1, T2, T3, T4, T5, T6, T7, T8, T9, T10, T11, T12, T13, T14, T15, T16]

    Permalink
  221. implicit def tupleBunder17Pimp[T1 <: Data, T2 <: Data, T3 <: Data, T4 <: Data, T5 <: Data, T6 <: Data, T7 <: Data, T8 <: Data, T9 <: Data, T10 <: Data, T11 <: Data, T12 <: Data, T13 <: Data, T14 <: Data, T15 <: Data, T16 <: Data, T17 <: Data](pimped: (T1, T2, T3, T4, T5, T6, T7, T8, T9, T10, T11, T12, T13, T14, T15, T16, T17)): TupleBundle17[T1, T2, T3, T4, T5, T6, T7, T8, T9, T10, T11, T12, T13, T14, T15, T16, T17]

    Permalink
  222. implicit def tupleBunder18Pimp[T1 <: Data, T2 <: Data, T3 <: Data, T4 <: Data, T5 <: Data, T6 <: Data, T7 <: Data, T8 <: Data, T9 <: Data, T10 <: Data, T11 <: Data, T12 <: Data, T13 <: Data, T14 <: Data, T15 <: Data, T16 <: Data, T17 <: Data, T18 <: Data](pimped: (T1, T2, T3, T4, T5, T6, T7, T8, T9, T10, T11, T12, T13, T14, T15, T16, T17, T18)): TupleBundle18[T1, T2, T3, T4, T5, T6, T7, T8, T9, T10, T11, T12, T13, T14, T15, T16, T17, T18]

    Permalink
  223. implicit def tupleBunder19Pimp[T1 <: Data, T2 <: Data, T3 <: Data, T4 <: Data, T5 <: Data, T6 <: Data, T7 <: Data, T8 <: Data, T9 <: Data, T10 <: Data, T11 <: Data, T12 <: Data, T13 <: Data, T14 <: Data, T15 <: Data, T16 <: Data, T17 <: Data, T18 <: Data, T19 <: Data](pimped: (T1, T2, T3, T4, T5, T6, T7, T8, T9, T10, T11, T12, T13, T14, T15, T16, T17, T18, T19)): TupleBundle19[T1, T2, T3, T4, T5, T6, T7, T8, T9, T10, T11, T12, T13, T14, T15, T16, T17, T18, T19]

    Permalink
  224. implicit def tupleBunder20Pimp[T1 <: Data, T2 <: Data, T3 <: Data, T4 <: Data, T5 <: Data, T6 <: Data, T7 <: Data, T8 <: Data, T9 <: Data, T10 <: Data, T11 <: Data, T12 <: Data, T13 <: Data, T14 <: Data, T15 <: Data, T16 <: Data, T17 <: Data, T18 <: Data, T19 <: Data, T20 <: Data](pimped: (T1, T2, T3, T4, T5, T6, T7, T8, T9, T10, T11, T12, T13, T14, T15, T16, T17, T18, T19, T20)): TupleBundle20[T1, T2, T3, T4, T5, T6, T7, T8, T9, T10, T11, T12, T13, T14, T15, T16, T17, T18, T19, T20]

    Permalink
  225. implicit def tupleBunder21Pimp[T1 <: Data, T2 <: Data, T3 <: Data, T4 <: Data, T5 <: Data, T6 <: Data, T7 <: Data, T8 <: Data, T9 <: Data, T10 <: Data, T11 <: Data, T12 <: Data, T13 <: Data, T14 <: Data, T15 <: Data, T16 <: Data, T17 <: Data, T18 <: Data, T19 <: Data, T20 <: Data, T21 <: Data](pimped: (T1, T2, T3, T4, T5, T6, T7, T8, T9, T10, T11, T12, T13, T14, T15, T16, T17, T18, T19, T20, T21)): TupleBundle21[T1, T2, T3, T4, T5, T6, T7, T8, T9, T10, T11, T12, T13, T14, T15, T16, T17, T18, T19, T20, T21]

    Permalink
  226. implicit def tupleBunder22Pimp[T1 <: Data, T2 <: Data, T3 <: Data, T4 <: Data, T5 <: Data, T6 <: Data, T7 <: Data, T8 <: Data, T9 <: Data, T10 <: Data, T11 <: Data, T12 <: Data, T13 <: Data, T14 <: Data, T15 <: Data, T16 <: Data, T17 <: Data, T18 <: Data, T19 <: Data, T20 <: Data, T21 <: Data, T22 <: Data](pimped: (T1, T2, T3, T4, T5, T6, T7, T8, T9, T10, T11, T12, T13, T14, T15, T16, T17, T18, T19, T20, T21, T22)): TupleBundle22[T1, T2, T3, T4, T5, T6, T7, T8, T9, T10, T11, T12, T13, T14, T15, T16, T17, T18, T19, T20, T21, T22]

    Permalink
  227. implicit def tupleBunder2Pimp[T1 <: Data, T2 <: Data](pimped: (T1, T2)): TupleBundle2[T1, T2]

    Permalink
  228. implicit def tupleBunder3Pimp[T1 <: Data, T2 <: Data, T3 <: Data](pimped: (T1, T2, T3)): TupleBundle3[T1, T2, T3]

    Permalink
  229. implicit def tupleBunder4Pimp[T1 <: Data, T2 <: Data, T3 <: Data, T4 <: Data](pimped: (T1, T2, T3, T4)): TupleBundle4[T1, T2, T3, T4]

    Permalink
  230. implicit def tupleBunder5Pimp[T1 <: Data, T2 <: Data, T3 <: Data, T4 <: Data, T5 <: Data](pimped: (T1, T2, T3, T4, T5)): TupleBundle5[T1, T2, T3, T4, T5]

    Permalink
  231. implicit def tupleBunder6Pimp[T1 <: Data, T2 <: Data, T3 <: Data, T4 <: Data, T5 <: Data, T6 <: Data](pimped: (T1, T2, T3, T4, T5, T6)): TupleBundle6[T1, T2, T3, T4, T5, T6]

    Permalink
  232. implicit def tupleBunder7Pimp[T1 <: Data, T2 <: Data, T3 <: Data, T4 <: Data, T5 <: Data, T6 <: Data, T7 <: Data](pimped: (T1, T2, T3, T4, T5, T6, T7)): TupleBundle7[T1, T2, T3, T4, T5, T6, T7]

    Permalink
  233. implicit def tupleBunder8Pimp[T1 <: Data, T2 <: Data, T3 <: Data, T4 <: Data, T5 <: Data, T6 <: Data, T7 <: Data, T8 <: Data](pimped: (T1, T2, T3, T4, T5, T6, T7, T8)): TupleBundle8[T1, T2, T3, T4, T5, T6, T7, T8]

    Permalink
  234. implicit def tupleBunder9Pimp[T1 <: Data, T2 <: Data, T3 <: Data, T4 <: Data, T5 <: Data, T6 <: Data, T7 <: Data, T8 <: Data, T9 <: Data](pimped: (T1, T2, T3, T4, T5, T6, T7, T8, T9)): TupleBundle9[T1, T2, T3, T4, T5, T6, T7, T8, T9]

    Permalink
  235. object uLogic extends SpinalTag

    Permalink

    Create a Ulogic tag used by Blackbox in order to transform std_logic into std_ulogic

  236. object unsetRegIfNoAssignementTag extends SpinalTag

    Permalink
  237. object unusedTag extends SpinalTag

    Permalink
  238. object weakCloneOf

    Permalink

    Return a new data with the same data structure as the given parameter (except bit width)

  239. object when

    Permalink

    If statement

    If statement

    Example:
    1. when(cond1){
        myCnt := 0
      }elsewhen(cond2){
        myCnt := myCnt + 1
      }otherwise{
        myCnt := myCnt - 1
      }
    See also

    when Documentation

  240. object widthOf

    Permalink

    Return the number of bit of the given data

  241. object writeFirst extends ReadUnderWritePolicy

    Permalink

Deprecated Value Members

  1. object Sel

    Permalink

    Sel operation

    Sel operation

    Annotations
    @deprecated
    Deprecated

    (Since version ???) Use Select instead

  2. object cloneable

    Permalink
    Annotations
    @deprecated
    Deprecated

    (Since version ???) Use HardType instead

  3. def toSFix(sint: SInt): SFix

    Permalink
    Definition Classes
    SFixCast
    Annotations
    @deprecated
    Deprecated

    (Since version ???) Use xxx.toSFix instead

  4. def toUFix(uint: UInt): UFix

    Permalink
    Definition Classes
    UFixCast
    Annotations
    @deprecated
    Deprecated

    (Since version ???) Use xxx.toUFix instead

  5. object wrap

    Permalink
    Annotations
    @deprecated
    Deprecated

    (Since version ???) Use HardType instead

Inherited from BaseTypeCast

Inherited from UFixCast

Inherited from SFixCast

Inherited from BaseTypeFactory

Inherited from UFixFactory

Inherited from SFixFactory

Inherited from TypeFactory

Inherited from VecFactory

Inherited from SIntFactory

Inherited from UIntFactory

Inherited from BitsFactory

Inherited from BoolFactory

Inherited from AnyRef

Inherited from Any

Ungrouped