spinal.lib.bus.amba4

axilite

package axilite

Visibility
  1. Public
  2. All

Type Members

  1. case class AxiLite(config: AxiLiteConfig) extends Bundle with IMasterSlave with Product with Serializable

  2. case class AxiLiteAr(config: AxiLiteConfig) extends Bundle with Product with Serializable

  3. case class AxiLiteAw(config: AxiLiteConfig) extends Bundle with Product with Serializable

  4. case class AxiLiteB(config: AxiLiteConfig) extends Bundle with Product with Serializable

  5. case class AxiLiteConfig(addressWidth: Int, dataWidth: Int) extends Product with Serializable

  6. case class AxiLiteR(config: AxiLiteConfig) extends Bundle with Product with Serializable

  7. case class AxiLiteReadOnly(config: AxiLiteConfig) extends Bundle with IMasterSlave with Product with Serializable

  8. class AxiLiteSimpleReadDma extends Component

  9. case class AxiLiteSimpleReadDmaCmd(axiLiteConfig: AxiLiteConfig) extends Bundle with Product with Serializable

    Created by PIC on 30.05.2015.

  10. case class AxiLiteW(config: AxiLiteConfig) extends Bundle with Product with Serializable

  11. case class AxiLiteWriteOnly(config: AxiLiteConfig) extends Bundle with IMasterSlave with Product with Serializable

Ungrouped