Package

spinal.lib.memory

sdram

Permalink

package sdram

Visibility
  1. Public
  2. All

Type Members

  1. case class Axi4SharedSdramCtrl(axiDataWidth: Int, axiIdWidth: Int, layout: SdramLayout, timing: SdramTimings, CAS: Int) extends Component with Product with Serializable

    Permalink
  2. case class BmbSdramCtrl(bmbParameter: BmbParameter, layout: SdramLayout, timing: SdramTimings, CAS: Int) extends Component with Product with Serializable

    Permalink
  3. case class SdramCtrl[T <: Data](l: SdramLayout, t: SdramTimings, CAS: Int, contextType: T, produceRspOnWrite: Boolean = false) extends Component with Product with Serializable

    Permalink
  4. case class SdramCtrlAxi4SharedContext(idWidth: Int) extends Bundle with Product with Serializable

    Permalink
  5. case class SdramCtrlBackendCmd[T <: Data](c: SdramLayout, contextType: T) extends Bundle with Product with Serializable

    Permalink
  6. case class SdramCtrlBank(c: SdramLayout) extends Bundle with Product with Serializable

    Permalink
  7. case class SdramCtrlBus[T <: Data](c: SdramLayout, contextType: T) extends Bundle with IMasterSlave with Product with Serializable

    Permalink
  8. case class SdramCtrlCmd[T <: Data](c: SdramLayout, contextType: T) extends Bundle with Product with Serializable

    Permalink
  9. case class SdramCtrlRsp[T <: Data](c: SdramLayout, contextType: T) extends Bundle with Product with Serializable

    Permalink
  10. case class SdramInterface(g: SdramLayout) extends Bundle with IMasterSlave with Product with Serializable

    Permalink
  11. case class SdramLayout(bankWidth: Int, columnWidth: Int, rowWidth: Int, dataWidth: Int) extends Product with Serializable

    Permalink
  12. case class SdramTimings(bootRefreshCount: Int, tPOW: TimeNumber, tREF: TimeNumber, tRC: TimeNumber, tRFC: TimeNumber, tRAS: TimeNumber, tRP: TimeNumber, tRCD: TimeNumber, cMRD: Int, tWR: TimeNumber, cWR: Int) extends Product with Serializable

    Permalink

Value Members

  1. object Axi4SharedSdramCtrl extends Serializable

    Permalink

    Created by PIC32F_USER on 28/08/2016.

  2. object BmbSdramCtrl extends Serializable

    Permalink
  3. object IS42x320D

    Permalink
  4. object MT48LC16M16A2

    Permalink
  5. object SdramCtrlBackendTask extends SpinalEnum

    Permalink
  6. object SdramCtrlFrontendState extends SpinalEnum

    Permalink
  7. object SdramCtrlMain

    Permalink
  8. object W9825G6JH6

    Permalink
  9. package sim

    Permalink

Ungrouped