Package

spinal.lib.bus

tilelink

Permalink

package tilelink

Linear Supertypes
AnyRef, Any
Ordering
  1. Alphabetic
  2. By Inheritance
Inherited
  1. tilelink
  2. AnyRef
  3. Any
  1. Hide All
  2. Show All
Visibility
  1. Public
  2. All

Type Members

  1. case class Arbiter(upsNodes: Seq[NodeParameters], downNode: NodeParameters) extends Component with Product with Serializable

    Permalink
  2. case class Bus(p: BusParameter) extends Bundle with IMasterSlave with Product with Serializable

    Permalink
  3. abstract class BusFragment extends Bundle

    Permalink
  4. implicit class BusFragmentPimper extends AnyRef

    Permalink
  5. case class BusParameter(addressWidth: Int, dataWidth: Int, sizeBytes: Int, sourceWidth: Int, sinkWidth: Int, withBCE: Boolean, withDataA: Boolean, withDataB: Boolean, withDataD: Boolean, node: NodeParameters) extends Product with Serializable

    Permalink
  6. case class ChannelA(p: BusParameter) extends BusFragment with Product with Serializable

    Permalink
  7. case class ChannelB(p: BusParameter) extends BusFragment with Product with Serializable

    Permalink
  8. case class ChannelC(p: BusParameter) extends BusFragment with Product with Serializable

    Permalink
  9. case class ChannelD(p: BusParameter) extends BusFragment with Product with Serializable

    Permalink
  10. case class ChannelE(p: BusParameter) extends Bundle with Product with Serializable

    Permalink
  11. abstract class ContextAsyncBufferBase[T <: Data] extends Component

    Permalink
  12. trait ContextAsyncBufferFactory extends AnyRef

    Permalink
  13. class ContextAsyncBufferFull[T <: Data] extends ContextAsyncBufferBase[T]

    Permalink
  14. case class ContextBufferAdd[T <: Data](idWidth: Int, contextType: HardType[T]) extends Bundle with Product with Serializable

    Permalink
  15. case class ContextBufferQuery[T <: Data](idWidth: Int, contextType: HardType[T]) extends Bundle with IMasterSlave with Product with Serializable

    Permalink
  16. case class ContextBufferRemove[T <: Data](idWidth: Int) extends Bundle with Product with Serializable

    Permalink
  17. case class Decoder(upNode: NodeParameters, downsSupports: Seq[M2sSupport], downsS2m: Seq[S2mParameters], mapping: Seq[AddressMapping], transformers: Seq[Seq[AddressTransformer]]) extends Component with Product with Serializable

    Permalink
  18. class ErrorSlave extends Component

    Permalink
  19. case class FifoCc(busParameter: BusParameter, inputCd: ClockDomain, outputCd: ClockDomain, aDepth: Int, bDepth: Int, cDepth: Int, dDepth: Int, eDepth: Int) extends Component with Product with Serializable

    Permalink
  20. case class M2sAgent(name: Nameable, mapping: Seq[M2sSource]) extends OverridedEqualsHashCode with Product with Serializable

    Permalink
  21. case class M2sParameters(addressWidth: Int, dataWidth: Int, masters: Seq[M2sAgent]) extends OverridedEqualsHashCode with Product with Serializable

    Permalink
  22. case class M2sSource(id: AddressMapping, emits: M2sTransfers, isExecute: Boolean = false) extends Product with Serializable

    Permalink
  23. case class M2sSupport(transfers: M2sTransfers, addressWidth: Int, dataWidth: Int, allowExecute: Boolean = false) extends Product with Serializable

    Permalink
  24. case class M2sTransfers(acquireT: SizeRange = SizeRange.none, acquireB: SizeRange = SizeRange.none, arithmetic: SizeRange = SizeRange.none, logical: SizeRange = SizeRange.none, get: SizeRange = SizeRange.none, putFull: SizeRange = SizeRange.none, putPartial: SizeRange = SizeRange.none, hint: SizeRange = SizeRange.none, probeAckData: SizeRange = SizeRange.none) extends MemoryTransfers with Product with Serializable

    Permalink
  25. case class NodeParameters(m: M2sParameters, s: S2mParameters = S2mParameters.none()) extends Product with Serializable

    Permalink
  26. case class OrderingTag(cmd: Flow[OrderingCmd], cd: ClockDomain = ClockDomain.current) extends SpinalTag with Product with Serializable

    Permalink
  27. case class S2mAgent(name: Nameable, sinkId: AddressMapping, emits: S2mTransfers) extends OverridedEqualsHashCode with Product with Serializable

    Permalink
  28. case class S2mParameters(slaves: Seq[S2mAgent]) extends OverridedEqualsHashCode with Product with Serializable

    Permalink
  29. case class S2mSupport(transfers: S2mTransfers) extends Product with Serializable

    Permalink
  30. case class S2mTransfers(probe: SizeRange = SizeRange.none, arithmetic: SizeRange = SizeRange.none, logical: SizeRange = SizeRange.none, get: SizeRange = SizeRange.none, putFull: SizeRange = SizeRange.none, putPartial: SizeRange = SizeRange.none, hint: SizeRange = SizeRange.none) extends Product with Serializable

    Permalink
  31. case class SizeRange(min: Int, max: Int) extends Product with Serializable

    Permalink
  32. class SlaveFactory extends BusSlaveFactoryDelayed

    Permalink
  33. implicit class TilelinkBusFragmentPimper[T <: BusFragment] extends AnyRef

    Permalink
  34. class TransferFilter extends Component

    Permalink
  35. class WidthAdapter extends Component

    Permalink

Value Members

  1. object Arbiter extends Serializable

    Permalink
  2. object Bus extends Serializable

    Permalink
  3. object BusParameter extends Serializable

    Permalink
  4. object ChannelA extends Serializable

    Permalink
  5. object ChannelB extends Serializable

    Permalink
  6. object ChannelC extends Serializable

    Permalink
  7. object ChannelD extends Serializable

    Permalink
  8. object ChannelE extends Serializable

    Permalink
  9. object ContextAsyncBufferFull extends ContextAsyncBufferFactory

    Permalink
  10. object DebugId

    Permalink
  11. object Decoder extends Serializable

    Permalink
  12. object M2sAgent extends Serializable

    Permalink
  13. object M2sParameters extends Serializable

    Permalink
  14. object M2sSupport extends Serializable

    Permalink
  15. object M2sTransfers extends Serializable

    Permalink
  16. object NodeParameters extends Serializable

    Permalink
  17. object Opcode extends AreaRoot

    Permalink
  18. object Param

    Permalink
  19. object S2mAgent extends Serializable

    Permalink
  20. object S2mParameters extends Serializable

    Permalink
  21. object S2mSupport extends Serializable

    Permalink
  22. object S2mTransfers extends Serializable

    Permalink
  23. object SizeRange extends Serializable

    Permalink
  24. object SlaveFactory

    Permalink
  25. package coherent

    Permalink
  26. package fabric

    Permalink
  27. package sim

    Permalink
  28. def sizeToBeatMinusOne(p: BusParameter, size: UInt): UInt

    Permalink

Inherited from AnyRef

Inherited from Any

Ungrouped