Packages

p

chiseltest

experimental

package experimental

Your warranty is now void.

experimental contains cutting edge features that are, well, experimental, and carry no expectation of long-term support. We may break experimental APIs at any time. These may not work as expected, or may have unforeseen side effects, or may be powerful yet dangerous.

You have been warned.

Linear Supertypes
AnyRef, Any
Ordering
  1. Alphabetic
  2. By Inheritance
Inherited
  1. experimental
  2. AnyRef
  3. Any
  1. Hide All
  2. Show All
Visibility
  1. Public
  2. All

Type Members

  1. class AsyncResetBlackBoxFactory extends ScalaBlackBoxFactory

    This generates the black box instance that Treadle will use

  2. class AsyncResetReg extends ExtModule

    This is a black box example that only works with treadle as it does not define the necessary verilog for verilator/VCS

    This is a black box example that only works with treadle as it does not define the necessary verilog for verilator/VCS

    Should be API compatible with rocket-chip's AsyncResetReg

  3. class AsyncResetRegScalaImpl extends ScalaBlackBox

    This is the scala implementation of the AsyncResetReg black box.

  4. trait ChiselTestCli extends ChiselCli with FirrtlCli
  5. class ChiselTestShell extends Shell with ChiselTestCli
  6. type TesterOptions = internal.TesterOptions

Value Members

  1. val TesterOptions: internal.TesterOptions.type
  2. def sanitizeFileName(name: String): String

    Simple file name sanitizer

    Simple file name sanitizer

    name

    file name to be sanitized

    Note

    This function is not considered a standard part of testers2 API, it will likely go away

Inherited from AnyRef

Inherited from Any

Ungrouped