spinal

core

package core

Linear Supertypes
Ordering
  1. Alphabetic
  2. By inheritance
Inherited
  1. core
  2. BaseTypeCast
  3. UFixCast
  4. SFixCast
  5. BaseTypeFactory
  6. UFixFactory
  7. SFixFactory
  8. TypeFactory
  9. VecFactory
  10. SIntFactory
  11. UIntFactory
  12. BitsFactory
  13. BoolFactory
  14. AnyRef
  15. Any
  1. Hide All
  2. Show all
Learn more about member selection
Visibility
  1. Public
  2. All

Type Members

  1. trait ActiveKind extends AnyRef

  2. trait Area extends Nameable with ContextUser with OwnableRef with ScalaLocated

  3. class AssertNode extends SyncNode

  4. trait AssertNodeSeverity extends AnyRef

  5. trait Assignable extends AnyRef

  6. class AssignedBits extends AnyRef

  7. class AssignedRange extends AnyRef

  8. class AssignementLevel extends AnyRef

  9. case class AssignementLevelCmd(that: Node, by: Node) extends Product with Serializable

  10. trait AssignementLevelNode extends AnyRef

  11. case class AssignementLevelSimple(that: Node, by: Node) extends AssignementLevelNode with Product with Serializable

  12. class AssignementLevelSwitch extends AssignementLevelNode

  13. class AssignementLevelWhen extends AssignementLevelNode

  14. abstract class AssignementNode extends Node

  15. abstract class AssignementNodeWidthable extends AssignementNode with Widthable

  16. trait AssignementTreePart extends AnyRef

  17. trait Attribute extends SpinalTag

    Created by PIC18F on 09.02.2015.

  18. class AttributeFlag extends Attribute

  19. class AttributeString extends Attribute

  20. abstract class BaseType extends Node with Data with Nameable with AssignementTreePart

    Abstract base class of all Spinal types

  21. trait BaseTypeCast extends SFixCast with UFixCast

  22. trait BaseTypeFactory extends BoolFactory with BitsFactory with UIntFactory with SIntFactory with VecFactory with SFixFactory with UFixFactory

    Base type factory

  23. implicit class BigDecimalBuilder extends AnyRef

  24. case class BigIntBuilder(i: BigInt) extends Product with Serializable

  25. abstract class BinaryOperator extends Operator

  26. abstract class BinaryOperatorWidthableInputs extends BinaryOperator

  27. class BitAssignmentFixed extends AssignementNodeWidthable with CheckWidth

  28. class BitAssignmentFloating extends AssignementNodeWidthable

  29. case class BitCount(value: Int) extends Product with Serializable

  30. abstract class BitVector extends BaseType with Widthable with CheckWidth

    BitVector is a family of types for storing multiple bits of information in a single value.

    BitVector is a family of types for storing multiple bits of information in a single value. This type has three subtypes that can be used to model different behaviours:

    • Bits
    • UInt (unsigned integer)
    • SInt (signed integer)
    See also

    BitVector Documentation

  31. abstract class BitVectorLiteral extends Node with Literal with Widthable

  32. abstract class BitVectorLiteralFactory[T <: BitVector] extends AnyRef

  33. class Bits extends BitVector with DataPrimitives[Bits] with BitwiseOp[Bits]

    The Bits type corresponds to a vector of bits that does not convey any arithmetic meaning.

    The Bits type corresponds to a vector of bits that does not convey any arithmetic meaning.

    Example:
    1. val myBits1 = Bits(32 bits)
      val myBits2 = B(25, 8 bits)
      val myBits3 = B"8'xFF"
      val myBits4 = B"1001_0011
    See also

    Bits Documentation

  34. class BitsAllToLiteral extends Node with Literal with Widthable

  35. trait BitsFactory extends AnyRef

    Bits factory used for instance by the IODirection to create a in/out Bits

  36. class BitsLiteral extends BitVectorLiteral

  37. trait BitwiseOp[T <: Data] extends AnyRef

    Bitwise Operation

    Bitwise Operation

    T

    the type which is associated with the bitwise operation

  38. abstract class BlackBox extends Component with SpinalTagReady

    A blackbox allows the user to integrate an existing VHDL/Verilog component into the design by just specifying the interfaces.

    A blackbox allows the user to integrate an existing VHDL/Verilog component into the design by just specifying the interfaces.

    Example:
    1.  class Ram_1w_1r(wordWidth: Int, wordCount: Int) extends BlackBox {
           val generic = new Generic {
               val wordCount = Ram_1w_1r.this.wordCount
               val wordWidth = Ram_1w_1r.this.wordWidth
           }
           val io = new Bundle {
               val clk = in Bool
               val wr = new Bundle {
                   val en   = in Bool
                   val addr = in UInt (log2Up(wordCount) bit)
                   val data = in Bits (wordWidth bit)
               }
               val rd = new Bundle {
                   val en   = in Bool
                   val addr = in UInt (log2Up(wordCount) bit)
                   val data = out Bits (wordWidth bit)
               }
           }
           mapClockDomain(clock=io.clk)
      }
  39. abstract class BlackBoxULogic extends BlackBox

    Create a blackBox with std_ulogic instead of std_logic

  40. class Bool extends BaseType with DataPrimitives[Bool] with BitwiseOp[Bool]

    The Bool type corresponds to a boolean value (True or False)

    The Bool type corresponds to a boolean value (True or False)

    Example:
    1. val myBool = Bool()
      myBool := False
      myBool := Bool(false)
    See also

    Bool Documentation

  41. trait BoolFactory extends AnyRef

    Bool factory used for instance by the IODirection to create a in/out Bool

  42. class BoolLiteral extends Node with Literal

  43. class Bundle extends MultiData with Nameable with OverridedEqualsHashCode

  44. class BundleCase extends Bundle

  45. class CaseContext extends ConditionalContext

  46. abstract class Cast extends Modifier

  47. abstract class CastBitVectorToBitVector extends Cast with Widthable

  48. class CastBitsToEnum extends Cast with InferableEnumEncodingImpl with CheckWidth

  49. class CastBitsToSInt extends CastBitVectorToBitVector

  50. class CastBitsToUInt extends CastBitVectorToBitVector

  51. class CastBoolToBits extends Cast with Widthable

  52. class CastEnumToBits extends Cast with Widthable

  53. class CastEnumToEnum extends Cast with InferableEnumEncodingImpl

  54. class CastSIntToBits extends CastBitVectorToBitVector

  55. class CastSIntToUInt extends CastBitVectorToBitVector

  56. class CastUIntToBits extends CastBitVectorToBitVector

  57. class CastUIntToSInt extends CastBitVectorToBitVector

  58. trait CheckWidth extends AnyRef

  59. class ClockDomain extends AnyRef

  60. trait ClockDomainBoolTag extends SpinalTag

  61. case class ClockDomainConfig(clockEdge: EdgeKind = RISING, resetKind: ResetKind = ASYNC, resetActiveLevel: ActiveKind = HIGH, softResetActiveLevel: ActiveKind = HIGH, clockEnableActiveLevel: ActiveKind = HIGH) extends Product with Serializable

  62. case class ClockDomainTag(clockDomain: ClockDomain) extends SpinalTag with Product with Serializable

  63. class ClockEnableArea extends Area with DelayedInit

  64. case class ClockEnableTag(clockDomain: ClockDomain) extends ClockDomainBoolTag with Product with Serializable

  65. case class ClockTag(clockDomain: ClockDomain) extends ClockDomainBoolTag with Product with Serializable

  66. class ClockingArea extends Area with DelayedInit

  67. abstract class Component extends NameableByComponent with GlobalDataUser with ScalaLocated with DelayedInit with Stackable with OwnableRef

    Abstract class used to create a new Component

    Abstract class used to create a new Component

    Example:
    1. class MyAndGate extends Component {
        val io = new Bundle{
          val a,b = in Bool
          val res = out Bool
        }
        io.res := io.a & io.b
      }
    See also

    Component Documentation

  68. class ComponentBuilder extends AnyRef

  69. trait ConditionalContext extends GlobalDataUser

    Created by PIC18F on 11.01.2015.

  70. abstract class ConstantOperator extends Operator

  71. abstract class ConstantOperatorWidthableInputs extends ConstantOperator

  72. trait ContextUser extends GlobalDataUser

  73. case class CyclesCount(value: BigInt) extends Product with Serializable

  74. trait Data extends ContextUser with NameableByComponent with Assignable with SpinalTagReady with GlobalDataUser with ScalaLocated with OwnableRef

  75. class DataPimper[T <: Data] extends DataPrimitives[T]

  76. trait DataPrimitives[T <: Data] extends AnyRef

  77. trait DataWrapper extends Data

  78. case class Device(vendor: String = "?", family: String = "?", name: String = "?") extends Product with Serializable

  79. class DontCareNode extends NodeWithoutInputs

  80. class DontCareNodeFixed extends DontCareNode with Widthable

  81. class DontCareNodeInfered extends DontCareNode with Widthable

  82. case class DoubleBuilder(d: Double) extends Product with Serializable

  83. trait DummyTrait extends AnyRef

  84. case class DumpWaveConfig(depth: Int = 0, vcdPath: String = "wave.vcd") extends Product with Serializable

  85. trait EdgeKind extends AnyRef

    Created by PIC18F on 21.08.2014.

  86. trait EnumEncoded extends AnyRef

  87. class EnumLiteral[T <: SpinalEnum] extends Node with Literal with InferableEnumEncodingImpl

    Node representation which contains the value of an SpinalEnumElement

  88. case class ExpNumber(value: Int) extends Product with Serializable

  89. abstract class Extract extends Modifier

  90. abstract class ExtractBitsVectorFixed extends Extract with WidthProvider with CheckWidth

  91. class ExtractBitsVectorFixedFromBits extends ExtractBitsVectorFixed

  92. class ExtractBitsVectorFixedFromSInt extends ExtractBitsVectorFixed

  93. class ExtractBitsVectorFixedFromUInt extends ExtractBitsVectorFixed

  94. abstract class ExtractBitsVectorFloating extends Extract with WidthProvider

  95. class ExtractBitsVectorFloatingFromBits extends ExtractBitsVectorFloating

  96. class ExtractBitsVectorFloatingFromSInt extends ExtractBitsVectorFloating

  97. class ExtractBitsVectorFloatingFromUInt extends ExtractBitsVectorFloating

  98. abstract class ExtractBoolFixed extends Extract with CheckWidth

  99. class ExtractBoolFixedFromBits extends ExtractBoolFixed

  100. class ExtractBoolFixedFromSInt extends ExtractBoolFixed

  101. class ExtractBoolFixedFromUInt extends ExtractBoolFixed

  102. abstract class ExtractBoolFloating extends Extract

  103. class ExtractBoolFloatingFromBits extends ExtractBoolFloating

  104. class ExtractBoolFloatingFromSInt extends ExtractBoolFloating

  105. class ExtractBoolFloatingFromUInt extends ExtractBoolFloating

  106. case class FixedFrequency(value: HertzNumber) extends IClockDomainFrequency with Product with Serializable

  107. class Generic extends AnyRef

    Create a generic for a BlackBox

    Create a generic for a BlackBox

    Example:
    1. class myMemory(sizeMem: Int) extends BlackBox{
          val generic = new Generic{
           val size = sizeMem
          }
          val io = new Bundle { ... }
      }
  108. class GlobalData extends AnyRef

  109. trait GlobalDataUser extends AnyRef

  110. class HardType[T <: Data] extends AnyRef

  111. case class HertzNumber(v: BigDecimal) extends PhysicalNumber[HertzNumber] with Product with Serializable

  112. trait IClockDomainFrequency extends AnyRef

  113. trait IODirection extends BaseTypeFactory

  114. abstract class ImplicitArea[T] extends Area

  115. trait InferableEnumEncoding extends AnyRef

  116. trait InferableEnumEncodingImpl extends EnumEncoded with InferableEnumEncoding with ContextUser with ScalaLocated

  117. trait InferableEnumEncodingImplChoice extends AnyRef

  118. final class IntBuilder extends AnyVal

  119. trait Literal extends Node

  120. implicit class LiteralBuilder extends AnyRef

  121. class MaskedLiteral extends AnyRef

  122. class Mem[T <: Data] extends NodeWithVariableInputsCount with Nameable with Widthable

  123. trait MemBitsMaskKind extends AnyRef

  124. trait MemBlackboxingPolicy extends AnyRef

  125. class MemReadAsync extends Node with Widthable with CheckWidth with Nameable

  126. class MemReadSync extends SyncNode with Widthable with CheckWidth with Nameable

  127. class MemReadWrite_readPart extends SyncNode with Widthable with CheckWidth with Nameable

  128. class MemReadWrite_writePart extends SyncNode with Widthable with CheckWidth with Nameable

  129. trait MemTechnologyKind extends AnyRef

  130. class MemTopology extends AnyRef

  131. class MemWrite extends SyncNode with Widthable with CheckWidth with Nameable

  132. case class MemWriteOrReadSync(write: MemReadWrite_writePart, read: MemReadWrite_readPart) extends Product with Serializable

  133. class MemWritePayload[T <: Data] extends Bundle

  134. trait MinMaxProvider extends AnyRef

  135. abstract class Modifier extends Node

  136. abstract class MultiData extends Data

  137. class MultipleAssignmentNode extends Node with AssignementTreePart

  138. class MultipleAssignmentNodeEnum extends MultipleAssignmentNode with InferableEnumEncodingImpl

  139. class MultipleAssignmentNodeWidthable extends MultipleAssignmentNode with Widthable with CheckWidth

  140. abstract class MultiplexedWidthable extends Multiplexer with Widthable with CheckWidth

  141. abstract class Multiplexer extends Modifier

  142. class MultiplexerBits extends MultiplexedWidthable

  143. class MultiplexerBool extends Multiplexer

  144. class MultiplexerEnum extends Multiplexer with InferableEnumEncodingImpl

  145. class MultiplexerSInt extends MultiplexedWidthable

  146. class MultiplexerUInt extends MultiplexedWidthable

  147. trait Nameable extends OwnableRef

  148. trait NameableByComponent extends Nameable with GlobalDataUser

  149. abstract class Node extends ContextUser with ScalaLocated with SpinalTagReady with GlobalDataUser

  150. abstract class NodeWithVariableInputsCount extends Node

  151. abstract class NodeWithoutInputs extends Node

  152. class NoneNode extends NodeWithoutInputs

  153. trait Num[T <: Data] extends AnyRef

    Base operations for numbers

    Base operations for numbers

    T

    the type which is associated with the base operation

  154. abstract class Operator extends Modifier

  155. trait OverridedEqualsHashCode extends AnyRef

  156. trait OwnableRef extends AnyRef

  157. trait Phase extends AnyRef

  158. class PhaseAddInOutBinding extends PhaseNetlist

  159. class PhaseAddNodesIntoComponent extends PhaseMisc

  160. class PhaseAllocateNames extends PhaseMisc

  161. class PhaseAllowNodesToReadInputOfKindComponent extends PhaseNetlist

  162. class PhaseAllowNodesToReadOutputs extends PhaseNetlist

  163. class PhaseApplyIoDefault extends PhaseNetlist

  164. trait PhaseCheck extends Phase

  165. class PhaseCheckCombinationalLoops extends PhaseCheck

  166. class PhaseCheckCrossClockDomains extends PhaseCheck

  167. class PhaseCheckInferredWidth extends PhaseCheck

  168. class PhaseCheckMisc extends PhaseCheck

  169. class PhaseCheck_noAsyncNodeWithIncompleteAssignment extends PhaseCheck

  170. class PhaseCheck_noNull_noCrossHierarchy_noInputRegister_noDirectionLessIo extends PhaseCheck

  171. class PhaseCollectAndNameEnum extends PhaseMisc

  172. class PhaseCompletSwitchCases extends PhaseNetlist

  173. class PhaseContext extends AnyRef

    Created by PIC32F_USER on 05/06/2016.

  174. class PhaseCreateComponent extends PhaseNetlist

  175. class PhaseDeleteUselessBaseTypes extends PhaseNetlist

  176. class PhaseDontSymplifyBasetypeWithComplexAssignement extends PhaseMisc

  177. class PhaseDontSymplifyVerilogMismatchingWidth extends PhaseMisc

  178. class PhaseDummy extends PhaseMisc

  179. class PhaseInferEnumEncodings extends PhaseMisc

  180. class PhaseInferWidth extends PhaseMisc

  181. class PhaseKeepAll extends PhaseMisc

  182. class PhaseMemBlackBoxingDefault extends PhaseMemBlackBoxingWithPolicy

  183. abstract class PhaseMemBlackBoxingWithPolicy extends PhaseMemBlackboxing

  184. trait PhaseMemBlackboxing extends PhaseNetlist

  185. trait PhaseMisc extends Phase

  186. class PhaseMoveLogicTags extends PhaseMisc

  187. class PhaseNameBinding extends PhaseMisc

  188. class PhaseNameNodesByReflection extends PhaseMisc

  189. trait PhaseNetlist extends Phase

  190. class PhaseNodesBlackBoxGenerics extends PhaseMisc

  191. class PhaseNormalizeNodeInputs extends PhaseNetlist

  192. class PhaseOrderComponentsNodes extends PhaseMisc

  193. class PhasePreInferationChecks extends PhaseMisc

  194. class PhasePrintStates extends PhaseMisc

  195. class PhasePrintUnUsedSignals extends PhaseCheck

  196. class PhasePropagateBaseTypeWidth extends PhaseMisc

  197. class PhasePullClockDomains extends PhaseNetlist

  198. class PhaseRemoveComponentThatNeedNoHdlEmit extends PhaseNetlist

  199. class PhaseResizeLiteralSimplify extends PhaseNetlist

  200. class PhaseSimplifyBlacBoxGenerics extends PhaseNetlist

  201. class PhaseSimplifyNodes extends PhaseNetlist

  202. class PhaseVerilog extends PhaseMisc with VerilogBase

    Created by PIC32F_USER on 05/06/2016.

  203. class PhaseVhdl extends PhaseMisc with VhdlBase

    Created by PIC32F_USER on 05/06/2016.

  204. abstract class PhysicalNumber[T <: PhysicalNumber[_]] extends AnyRef

  205. case class PosCount(value: Int) extends Product with Serializable

  206. class RInt extends MultiData with Num[RInt]

  207. class Ram_1w_1ra extends BlackBox

  208. class Ram_1w_1rs extends BlackBox

  209. class Ram_1wors extends BlackBox

  210. class Ram_1wrs extends BlackBox

  211. class Ram_2c_1w_1rs extends BlackBox

  212. class Ram_2wrs extends BlackBox

  213. implicit class RangePimper extends AnyRef

  214. class RangedAssignmentFixed extends AssignementNodeWidthable with CheckWidth

  215. class RangedAssignmentFloating extends AssignementNodeWidthable with CheckWidth

  216. trait ReadUnderWritePolicy extends AnyRef

    Created by PIC18F on 02.02.2015.

  217. class Reg extends SyncNode with Assignable with AssignementTreePart

  218. class RegEnum extends Reg with InferableEnumEncodingImpl

  219. class RegWidthable extends Reg with Widthable with CheckWidth

  220. class ResetArea extends Area with DelayedInit

  221. trait ResetKind extends AnyRef

  222. case class ResetTag(clockDomain: ClockDomain) extends ClockDomainBoolTag with Product with Serializable

  223. abstract class Resize extends Modifier with Widthable

  224. class ResizeBits extends Resize

  225. class ResizeSInt extends Resize

  226. class ResizeUInt extends Resize

  227. class SFix extends XFix[SFix, SInt]

  228. class SFix2D extends Bundle

  229. trait SFixCast extends AnyRef

  230. trait SFixFactory extends TypeFactory

    Created by PIC on 19.05.2015.

  231. class SInt extends BitVector with Num[SInt] with MinMaxProvider with DataPrimitives[SInt] with BitwiseOp[SInt]

    The SInt type corresponds to a vector of bits that can be used for signed integer arithmetic.

    The SInt type corresponds to a vector of bits that can be used for signed integer arithmetic.

    Example:
    1. val mySInt = SInt(8 bits)
      mySInt    := S(4, 8 bits) + S"0000_1111"
      mySInt    := S(4) - S"h1A"
    See also

    SInt Documentation

  232. trait SIntFactory extends AnyRef

    SInt factory used for instance by the IODirection to create a in/out SInt

  233. class SIntLiteral extends BitVectorLiteral

  234. implicit class SIntPimper extends AnyRef

  235. class SafeStack[T] extends AnyRef

  236. class SafeStackWithStackable[T <: Stackable] extends SafeStack[T]

  237. trait ScalaLocated extends GlobalDataUser

  238. class Scope extends AnyRef

  239. case class SlicesCount(value: Int) extends Product with Serializable

  240. case class SpinalConfig(mode: SpinalMode = null, debug: Boolean = false, keepAll: Boolean = false, defaultConfigForClockDomains: ClockDomainConfig = ClockDomainConfig(), onlyStdLogicVectorAtTopLevelIo: Boolean = false, defaultClockDomainFrequency: IClockDomainFrequency = UnknownFrequency(), targetDirectory: String = ".", netlistFileName: String = null, dumpWave: DumpWaveConfig = null, globalPrefix: String = "", device: Device = Device(), genVhdlPkg: Boolean = true, phasesInserters: ArrayBuffer[(ArrayBuffer[Phase]) ⇒ Unit] = ..., transformationPhases: ArrayBuffer[Phase] = ArrayBuffer[Phase](), memBlackBoxers: ArrayBuffer[Phase] = ...) extends Product with Serializable

  241. class SpinalEnum extends Nameable with ScalaLocated

    Base class for creating enumeration

    Base class for creating enumeration

    Example:
    1. class MyEnum extends SpinalEnum(binarySequancial){
        val s1, s2, s3, s4 = newElement()
      }

      SpinalEnum contains a list of SpinalEnumElement that is the definition of an element. SpinalEnumCraft is the hardware representation of the the element.

    See also

    Enumeration Documentation

  242. class SpinalEnumCraft[T <: SpinalEnum] extends BaseType with InferableEnumEncodingImpl with DataPrimitives[SpinalEnumCraft[T]]

    Hardware representation of an enumeration

  243. class SpinalEnumElement[T <: SpinalEnum] extends Nameable

    Definition of an element of the enumeration

  244. trait SpinalEnumEncoding extends Nameable with ScalaLocated

    Trait to define an encoding

  245. class SpinalExit extends Exception

  246. trait SpinalMode extends AnyRef

  247. class SpinalReport[T <: Component] extends AnyRef

  248. trait SpinalTag extends AnyRef

  249. trait SpinalTagReady extends AnyRef

  250. trait Stackable extends AnyRef

  251. class SwitchContext extends ConditionalContext

  252. class SwitchStack extends AnyRef

  253. case class SwitchTreeCase(const: Node, doThat: AssignementLevel) extends Product with Serializable

  254. case class SwitchTreeDefault(doThat: AssignementLevel) extends Product with Serializable

  255. abstract class SyncNode extends Node

  256. class TagDefault extends SpinalTag

    Created by PIC18F on 21.08.2014.

  257. case class TimeNumber(v: BigDecimal) extends PhysicalNumber[TimeNumber] with Product with Serializable

  258. trait TypeFactory extends AnyRef

  259. class UFix extends XFix[UFix, UInt]

  260. class UFix2D extends Bundle

  261. trait UFixCast extends AnyRef

  262. trait UFixFactory extends TypeFactory

  263. class UInt extends BitVector with Num[UInt] with MinMaxProvider with DataPrimitives[UInt] with BitwiseOp[UInt]

    The UInt type corresponds to a vector of bits that can be used for unsigned integer arithmetic.

    The UInt type corresponds to a vector of bits that can be used for unsigned integer arithmetic.

    Example:
    1. val myUInt = UInt(8 bits)
       myUInt := U(2,8 bits)
       myUInt := U(2)
       myUInt := U"0000_0101"
       myUInt := U"h1A"
    See also

    UInt Documentation

  264. case class UInt2D(xBitCount: BitCount, yBitCount: BitCount) extends Bundle with Product with Serializable

    Define an UInt 2D point

    Define an UInt 2D point

    xBitCount

    width of the x point

    yBitCount

    width of the y point

    Example:
    1. val positionOnScreen = Reg(UInt2D(log2Up(p.screenResX) bits, log2Up(p.screenResY) bits))
  265. trait UIntFactory extends AnyRef

    UInt factory used for instance by the IODirection to create a in/out UInt

  266. class UIntLiteral extends BitVectorLiteral

  267. implicit class UIntPimper extends AnyRef

  268. abstract class UnaryOperator extends Operator

  269. abstract class UnaryOperatorWidthableInputs extends UnaryOperator

  270. case class UnknownFrequency() extends IClockDomainFrequency with Product with Serializable

  271. class Vec[T <: Data] extends MultiData with IndexedSeq[T]

  272. class VecAccessAssign[T <: BaseType] extends Assignable

  273. trait VecFactory extends AnyRef

  274. trait VerilogBase extends VhdlVerilogBase

    Created by PIC18F on 07.01.2015.

  275. trait VhdlBase extends VhdlVerilogBase

    Created by PIC18F on 07.01.2015.

  276. class VhdlTestBenchBackend extends VhdlBase with PhaseMisc

  277. trait VhdlVerilogBase extends AnyRef

  278. class WhenContext extends ConditionalContext with ScalaLocated

  279. class WhenNode extends Node with AssignementTreePart

  280. class WhenNodeEnum extends WhenNode with InferableEnumEncodingImpl

  281. class WhenNodeWidthable extends WhenNode with Widthable with CheckWidth

  282. trait WidthProvider extends AnyRef

  283. trait Widthable extends WidthProvider with GlobalDataUser with ScalaLocated

  284. abstract class XFix[T <: XFix[T, R], R <: BitVector with Num[R]] extends MultiData

  285. class dontName extends Annotation with Annotation with ClassfileAnnotation

Value Members

  1. object ASYNC extends ResetKind

  2. object AllowMixedWidth extends SpinalTag

  3. object AnnotationUtils

  4. object ArrayManager

  5. object AssertNode

  6. object AssignedBits

  7. object AssignedRange

  8. object AssignementTree

  9. object B extends BitVectorLiteralFactory[Bits]

  10. object BOOT extends ResetKind

  11. object BaseType

  12. implicit def BigIntToBits(that: BigInt): Bits

  13. implicit def BigIntToBuilder(value: BigInt): BigIntBuilder

  14. implicit def BigIntToSInt(that: BigInt): SInt

  15. implicit def BigIntToUInt(that: BigInt): UInt

  16. def Bits(width: BitCount): Bits

    Create a new Bits of a given width

    Create a new Bits of a given width

    Definition Classes
    BitsFactory
  17. def Bits(): Bits

    Create a new Bits

    Create a new Bits

    Definition Classes
    BitsFactory
  18. object BitsLiteral

  19. def Bool(value: Boolean): Bool

    Create a new Bool initialized with a boolean value

    Create a new Bool initialized with a boolean value

    Definition Classes
    BoolFactory
  20. def Bool(): Bool

    Create a new Bool

    Create a new Bool

    Definition Classes
    BoolFactory
  21. object BoolLiteral

  22. object Bundle

    Created by PIC18F on 08.01.2015.

  23. object Cat

  24. object CheckWidth

  25. object ClockDomain

  26. object Component

    Component companion

  27. object Context

    Created by PIC18F on 21.08.2014.

  28. object CyclesCount extends Serializable

  29. object Data

  30. implicit def DataPimped[T <: Data](that: T): DataPimper[T]

  31. implicit def DoubleToBuilder(value: Double): DoubleBuilder

  32. object Driver

    Created by PIC18F on 07.01.2015.

  33. object ERROR extends AssertNodeSeverity

  34. implicit def EnumCtoEnumC2[T <: SpinalEnum, T2 <: T](craft: SpinalEnumCraft[T2]): SpinalEnumCraft[T]

  35. implicit def EnumCtoEnumC3[T <: SpinalEnum, T2 <: T](craft: SpinalEnumCraft[T]): SpinalEnumCraft[T2]

  36. implicit def EnumElementToCraft[T <: SpinalEnum](element: SpinalEnumElement[T]): SpinalEnumCraft[T]

  37. implicit def EnumEtoEnumE2[T <: SpinalEnum, T2 <: T](element: SpinalEnumElement[T2]): SpinalEnumElement[T]

  38. implicit def EnumEtoEnumE3[T <: SpinalEnum, T2 <: T](element: SpinalEnumElement[T]): SpinalEnumElement[T2]

  39. object FAILURE extends AssertNodeSeverity

  40. object FALLING extends EdgeKind

  41. def False: Bool

  42. object GlobalData

  43. object HIGH extends ActiveKind

  44. object HardType

  45. object ImplicitArea

  46. object InferableEnumEncodingImplChoiceAnticipated extends InferableEnumEncodingImplChoice

  47. object InferableEnumEncodingImplChoiceFixed extends InferableEnumEncodingImplChoice

  48. object InferableEnumEncodingImplChoiceInferred extends InferableEnumEncodingImplChoice

  49. object InferableEnumEncodingImplChoiceUndone extends InferableEnumEncodingImplChoice

  50. object Info

  51. object InputNormalize

  52. implicit def IntToBits(that: Int): Bits

  53. implicit def IntToBuilder(value: Int): IntBuilder

  54. implicit def IntToSInt(that: Int): SInt

  55. implicit def IntToUInt(that: Int): UInt

  56. object LOW extends ActiveKind

  57. object LocatedPendingError

  58. object MULTIPLE_RAM extends MemBitsMaskKind

  59. object MacroTest

  60. object MaskedLiteral

  61. object Mem

  62. object MemReadSync

  63. object MemReadWrite_readPart

  64. object MemReadWrite_writePart

  65. object MemWrite

  66. object Misc

  67. object MultipleAssignmentNode

  68. object Mux

  69. object NOTE extends AssertNodeSeverity

  70. object Nameable

  71. object Node

  72. object Operator

  73. object OwnableRef

  74. object PendingError

  75. object PhysicalNumber

  76. object RISING extends EdgeKind

  77. object RInt

    Created by PIC32F_USER on 05/01/2016.

  78. object Reg

  79. object RegInit

  80. object RegNext

  81. object RegNextWhen

  82. object RegS

  83. object S extends BitVectorLiteralFactory[SInt]

  84. object SF

  85. def SFix(peak: ExpNumber, resolution: ExpNumber): SFix

    Definition Classes
    SFixFactory
  86. def SFix(peak: ExpNumber, width: BitCount): SFix

    Definition Classes
    SFixFactory
  87. object SFix2D

  88. object SINGLE_RAM extends MemBitsMaskKind

  89. def SInt(width: BitCount): SInt

    Create a new SInt of a given width

    Create a new SInt of a given width

    Definition Classes
    SIntFactory
  90. def SInt(): SInt

    Create a new SInt

    Create a new SInt

    Definition Classes
    SIntFactory
  91. object SIntLiteral

  92. object SYNC extends ResetKind

  93. object ScalaLocated

  94. object ScalaUniverse

  95. object Sel

  96. object Select

  97. object SeqMux

  98. object Spinal

  99. object SpinalConfig extends Serializable

  100. object SpinalEnumEncoding

    Used to create a custom encoding

    Used to create a custom encoding

    Example:
    1. object BR extends SpinalEnum{
        val NE, EQ, J, JR = newElement()
        defaultEncoding = SpinalEnumEncoding("opt")(
            EQ -> 0,
            NE -> 1,
            J  -> 2,
            JR -> 3 )
      }
  101. object SpinalError

  102. object SpinalExit extends Serializable

  103. object SpinalInfo

  104. object SpinalLog

  105. object SpinalMap

  106. object SpinalProgress

  107. object SpinalTagReady

  108. object SpinalVerilog

  109. object SpinalVerilogBoot

  110. object SpinalVhdl

  111. object SpinalVhdlBoot

  112. object SpinalWarning

  113. implicit def StringToBits(that: String): Bits

  114. implicit def StringToSInt(that: String): SInt

  115. implicit def StringToUInt(that: String): UInt

  116. object SymplifyNode

  117. object SyncNode

  118. def True: Bool

  119. object U extends BitVectorLiteralFactory[UInt]

  120. object UF

  121. def UFix(peak: ExpNumber, resolution: ExpNumber): UFix

    Definition Classes
    UFixFactory
  122. def UFix(peak: ExpNumber, width: BitCount): UFix

    Definition Classes
    UFixFactory
  123. object UFix2D

  124. def UInt(width: BitCount): UInt

    Create a new UInt of a given width

    Create a new UInt of a given width

    Definition Classes
    UIntFactory
  125. def UInt(): UInt

    Create a new UInt

    Create a new UInt

    Definition Classes
    UIntFactory
  126. object UInt2D extends Serializable

  127. object UIntLiteral

  128. object VHDL extends SpinalMode

  129. def Vec[T <: Data](firstElement: T, followingElements: T*): Vec[T]

    Definition Classes
    VecFactory
  130. def Vec[T <: Data](gen: (Int) ⇒ T, size: Int): Vec[T]

    Definition Classes
    VecFactory
  131. def Vec[T <: Data](gen: Vec[T], size: Int): Vec[Vec[T]]

    Definition Classes
    VecFactory
  132. def Vec[T <: Data](gen: ⇒ T, size: Int): Vec[T]

    Definition Classes
    VecFactory
  133. def Vec[T <: Data](elements: TraversableOnce[T]): Vec[T]

    Definition Classes
    VecFactory
  134. object Verilog extends SpinalMode

  135. object VhdlVerilogBase

  136. object WARNING extends AssertNodeSeverity

  137. object WhenNode

  138. object WidthInfer

  139. def assert(assertion: Bool, message: String, severity: AssertNodeSeverity): Unit

  140. def assert(assertion: Bool, severity: AssertNodeSeverity): Unit

  141. def assert(assertion: Bool, message: String): Unit

  142. def assert(assertion: Bool): Unit

  143. final def assert(assertion: Boolean, message: ⇒ Any): Unit

    Annotations
    @elidable( ASSERTION ) @inline()
  144. def assert(assertion: Boolean): Unit

    Annotations
    @elidable( ASSERTION )
  145. object auto extends MemTechnologyKind

  146. object binaryOneHot extends SpinalEnumEncoding

    Binary One hot encoding

    Binary One hot encoding

    Example:
    1. 000, 010, 100
  147. object binarySequential extends SpinalEnumEncoding

    Binary Sequential

    Binary Sequential

    Example:
    1. 000, 001, 010, 011, 100, 101, ....
  148. object blackboxAll extends MemBlackboxingPolicy

  149. object blackboxAllWhatsYouCan extends MemBlackboxingPolicy

  150. object blackboxOnlyIfRequested extends MemBlackboxingPolicy

  151. object blackboxRequestedAndUninferable extends MemBlackboxingPolicy

  152. object cloneOf

  153. object cloneable

  154. object crossClockBuffer extends SpinalTag

  155. object crossClockDomain extends SpinalTag

  156. object default

  157. object distributedLut extends MemTechnologyKind

  158. object dontCare extends ReadUnderWritePolicy

  159. macro def enum(param: Symbol*): Any

  160. def fill[T <: Data](size: Int)(gen: ⇒ T): Vec[T]

    Definition Classes
    VecFactory
  161. object ifGen

  162. implicit lazy val implicitConversions: implicitConversions

  163. object in extends IODirection

  164. object inWithNull extends IODirection

  165. object inferred extends SpinalEnumEncoding

    Inferred encoding

  166. object is

  167. object isPow2

  168. object log2Up

  169. object native extends SpinalEnumEncoding

    Native encoding

  170. object out extends IODirection

  171. object outWithNull extends IODirection

  172. def postTypeFactory[T <: Data](that: T): T

    Definition Classes
    TypeFactory
  173. implicit lazy val postfixOps: postfixOps

  174. object ramBlock extends MemTechnologyKind

  175. object randomBoot extends SpinalTag

  176. object readFirst extends ReadUnderWritePolicy

  177. implicit lazy val reflectiveCalls: reflectiveCalls

  178. object registerFile extends MemTechnologyKind

  179. def report(message: String, severity: AssertNodeSeverity = NOTE): Unit

  180. object roundUp

  181. object signalCache

  182. object switch

  183. def tabulate[T <: Data](size: Int)(gen: (Int) ⇒ T): Vec[T]

    Definition Classes
    VecFactory
  184. object tagAutoResize extends SpinalTag

  185. object tagTruncated extends SpinalTag

  186. object uLogic extends SpinalTag

    Create a Ulogic tag used by Blackbox in order to transform std_logic into std_ulogic

  187. object unusedTag extends SpinalTag

  188. object weakCloneOf

  189. object when

  190. object widthOf

  191. object writeFirst extends ReadUnderWritePolicy

Deprecated Value Members

  1. def Vec[T <: Data](size: Int, gen: (Int) ⇒ T): Vec[T]

    Definition Classes
    VecFactory
    Annotations
    @deprecated
    Deprecated
  2. def Vec[T <: Data](size: Int, gen: ⇒ T): Vec[T]

    Definition Classes
    VecFactory
    Annotations
    @deprecated
    Deprecated
  3. def toSFix(sint: SInt): SFix

    Definition Classes
    SFixCast
    Annotations
    @deprecated
    Deprecated
  4. def toUFix(uint: UInt): UFix

    Definition Classes
    UFixCast
    Annotations
    @deprecated
    Deprecated
  5. object wrap

    Annotations
    @deprecated
    Deprecated

    Use cloneable instead

Inherited from BaseTypeCast

Inherited from UFixCast

Inherited from SFixCast

Inherited from BaseTypeFactory

Inherited from UFixFactory

Inherited from SFixFactory

Inherited from TypeFactory

Inherited from VecFactory

Inherited from SIntFactory

Inherited from UIntFactory

Inherited from BitsFactory

Inherited from BoolFactory

Inherited from AnyRef

Inherited from Any

Ungrouped