Package

spinal.core

internals

Permalink

package internals

Visibility
  1. Public
  2. All

Type Members

  1. abstract class AnalogDriver extends Expression

    Permalink
  2. abstract class AnalogDriverBitVector extends AnalogDriver with Widthable

    Permalink
  3. class AnalogDriverBits extends AnalogDriverBitVector

    Permalink
  4. class AnalogDriverBool extends AnalogDriver

    Permalink
  5. class AnalogDriverEnum extends AnalogDriver with InferableEnumEncodingImpl

    Permalink
  6. class AnalogDriverSInt extends AnalogDriverBitVector

    Permalink
  7. class AnalogDriverUInt extends AnalogDriverBitVector

    Permalink
  8. case class AssertStatement(cond: Expression, message: Seq[Any], severity: AssertNodeSeverity, kind: AssertStatementKind, trigger: AssertStatementTrigger) extends LeafStatement with SpinalTagReady with Product with Serializable

    Permalink
  9. class AssertStatementKind extends AnyRef

    Permalink
  10. class AssertStatementTrigger extends AnyRef

    Permalink
  11. class AssignedBits extends AnyRef

    Permalink

    Bits assignment

  12. class AssignedRange extends AnyRef

    Permalink
  13. abstract class AssignmentExpression extends Expression

    Permalink

    Base class for expression assignment

  14. abstract class AssignmentStatement extends LeafStatement with StatementDoubleLinkedContainerElement[BaseType, AssignmentStatement]

    Permalink
  15. trait BaseNode extends ScalaLocated

    Permalink
  16. abstract class BinaryMultiplexer extends Modifier

    Permalink

    Binary multiplexer

  17. class BinaryMultiplexerBits extends BinaryMultiplexerWidthable

    Permalink

    Bits binary multiplexer

  18. class BinaryMultiplexerBool extends BinaryMultiplexer

    Permalink

    Bool binary multiplexer

  19. class BinaryMultiplexerEnum extends BinaryMultiplexer with InferableEnumEncodingImpl

    Permalink

    Enum binary multiplexer

  20. class BinaryMultiplexerSInt extends BinaryMultiplexerWidthable

    Permalink

    SInt binary multiplexer

  21. class BinaryMultiplexerUInt extends BinaryMultiplexerWidthable

    Permalink

    UInt binary multiplexer

  22. abstract class BinaryMultiplexerWidthable extends BinaryMultiplexer with Widthable

    Permalink

    Widtable Binary multiplexer

  23. abstract class BinaryOperator extends Operator

    Permalink
  24. abstract class BinaryOperatorWidthableInputs extends BinaryOperator

    Permalink
  25. class BitAssignmentFixed extends BitVectorAssignmentExpression with ScalaLocated

    Permalink
  26. class BitAssignmentFloating extends BitVectorAssignmentExpression with ScalaLocated

    Permalink
  27. abstract class BitVectorAssignmentExpression extends AssignmentExpression

    Permalink

    Base class for BitVector assignment

  28. abstract class BitVectorBitAccessFixed extends SubAccess with ScalaLocated

    Permalink

    Base class fot accessing a bit in a bitvector with a fix index

  29. abstract class BitVectorBitAccessFloating extends SubAccess with ScalaLocated

    Permalink

    Base class fot accessing bit in a bitvector with a floating index

  30. abstract class BitVectorLiteral extends Literal with WidthProvider

    Permalink

    Base class for BitVector literal

  31. abstract class BitVectorRangedAccessFixed extends SubAccess with WidthProvider

    Permalink

    Base class for accessing a range of bit in a bitvector with a fix range

  32. abstract class BitVectorRangedAccessFloating extends SubAccess with WidthProvider

    Permalink

    Base class for accessing a range of bits in a bitvector with a floating range

    Base class for accessing a range of bits in a bitvector with a floating range

    When used offset.dontSimplifyIt() Because it can appear at multiple location (o+bc-1 downto o)

  33. class BitsBitAccessFixed extends BitVectorBitAccessFixed

    Permalink

    Bits access with a fix index

  34. class BitsBitAccessFloating extends BitVectorBitAccessFloating

    Permalink

    Bits access with a floating index

  35. class BitsLiteral extends BitVectorLiteral

    Permalink

    Bit Literal

  36. class BitsRangedAccessFixed extends BitVectorRangedAccessFixed

    Permalink

    Bits range access with a fix range

  37. class BitsRangedAccessFloating extends BitVectorRangedAccessFloating

    Permalink

    Bits range access with a floating range

  38. class BoolLiteral extends Literal

    Permalink
  39. class BoolPoison extends Literal

    Permalink

    Poison boolean

  40. class BooleanPimped extends AnyRef

    Permalink
  41. abstract class Cast extends Modifier

    Permalink

    Base class for Casting type

  42. abstract class CastBitVectorToBitVector extends Cast with Widthable

    Permalink

    BitVector -> BitVector

  43. class CastBitsToEnum extends Cast with InferableEnumEncodingImpl

    Permalink

    Bits -> Enum

  44. class CastBitsToSInt extends CastBitVectorToBitVector

    Permalink

    Bits -> SInt

  45. class CastBitsToUInt extends CastBitVectorToBitVector

    Permalink

    Bits -> UInt

  46. class CastBoolToBits extends Cast with Widthable

    Permalink

    Bool -> Bits

  47. class CastEnumToBits extends Cast with Widthable

    Permalink

    Enum -> Bits

  48. class CastEnumToEnum extends Cast with InferableEnumEncodingImpl

    Permalink

    Enum -> Enum

  49. class CastSIntToBits extends CastBitVectorToBitVector

    Permalink

    SInt -> Bits

  50. class CastSIntToUInt extends CastBitVectorToBitVector

    Permalink

    SInt -> UInt

  51. class CastUIntToBits extends CastBitVectorToBitVector

    Permalink

    UInt -> Bits

  52. class CastUIntToSInt extends CastBitVectorToBitVector

    Permalink

    UInt -> SInt

  53. abstract class ComponentEmitter extends AnyRef

    Permalink
  54. class ComponentEmitterTrace extends AnyRef

    Permalink
  55. class ComponentEmitterVerilog extends ComponentEmitter

    Permalink
  56. class ComponentEmitterVhdl extends ComponentEmitter

    Permalink
  57. abstract class ConstantOperator extends Operator

    Permalink
  58. abstract class ConstantOperatorWidthableInputs extends ConstantOperator

    Permalink
  59. class DataAssignmentStatement extends AssignmentStatement

    Permalink
  60. trait DeclarationStatement extends LeafStatement with Nameable

    Permalink
  61. trait DoubleLinkedContainer[SC <: DoubleLinkedContainer[SC, SE], SE <: DoubleLinkedContainerElement[SC, SE]] extends AnyRef

    Permalink
  62. trait DoubleLinkedContainerElement[SC <: DoubleLinkedContainer[SC, SE], SE <: DoubleLinkedContainerElement[SC, SE]] extends AnyRef

    Permalink
  63. trait EnumEncoded extends AnyRef

    Permalink
  64. trait Expression extends BaseNode with ExpressionContainer

    Permalink
  65. trait ExpressionContainer extends AnyRef

    Permalink
  66. trait InferableEnumEncoding extends AnyRef

    Permalink
  67. trait InferableEnumEncodingImpl extends EnumEncoded with InferableEnumEncoding with ContextUser with ScalaLocated

    Permalink
  68. trait InferableEnumEncodingImplChoice extends AnyRef

    Permalink
  69. class InitAssignmentStatement extends AssignmentStatement

    Permalink
  70. class InitialAssignmentStatement extends AssignmentStatement

    Permalink
  71. class IntPimped extends AnyRef

    Permalink
  72. trait LeafStatement extends Statement

    Permalink
  73. trait Literal extends Expression

    Permalink

    Literal trait

  74. trait MemBitsMaskKind extends AnyRef

    Permalink
  75. class MemTopology extends AnyRef

    Permalink
  76. abstract class Modifier extends Expression

    Permalink

    Modifier base class

  77. abstract class Multiplexer extends Modifier

    Permalink

    Multiplexer base class

  78. class MultiplexerBits extends MultiplexerWidthable

    Permalink

    Bits multiplexer

  79. class MultiplexerBool extends Multiplexer

    Permalink

    Bool multiplexer

  80. class MultiplexerEnum extends Multiplexer with InferableEnumEncodingImpl

    Permalink

    Enum multiplexer

  81. class MultiplexerSInt extends MultiplexerWidthable

    Permalink

    SInt multiplexer

  82. class MultiplexerUInt extends MultiplexerWidthable

    Permalink

    UInt multiplexer

  83. abstract class MultiplexerWidthable extends Multiplexer with Widthable

    Permalink

    Widtable multiplexer

  84. abstract class Operator extends Modifier

    Permalink
  85. trait Phase extends AnyRef

    Permalink
  86. class PhaseAllocateNames extends PhaseMisc

    Permalink
  87. class PhaseAnalog extends PhaseNetlist

    Permalink
  88. class PhaseApplyIoDefault extends PhaseNetlist

    Permalink
  89. trait PhaseCheck extends Phase

    Permalink
  90. class PhaseCheckCombinationalLoops extends PhaseCheck

    Permalink
  91. class PhaseCheckCrossClock extends PhaseCheck

    Permalink
  92. class PhaseCheckHiearchy extends PhaseCheck

    Permalink
  93. class PhaseCheckIoBundle extends PhaseCheck

    Permalink
  94. class PhaseCheck_noLatchNoOverride extends PhaseCheck

    Permalink
  95. class PhaseCheck_noRegisterAsLatch extends PhaseCheck

    Permalink
  96. class PhaseCollectAndNameEnum extends PhaseMisc

    Permalink
  97. class PhaseCompletSwitchCases extends PhaseNetlist

    Permalink
  98. class PhaseContext extends AnyRef

    Permalink
  99. class PhaseCreateComponent extends PhaseNetlist

    Permalink
  100. class PhaseDevice extends PhaseMisc

    Permalink
  101. class PhaseDeviceSpecifics extends PhaseNetlist

    Permalink
  102. class PhaseDummy extends PhaseMisc

    Permalink
  103. class PhaseGetInfoRTL extends PhaseCheck

    Permalink
  104. class PhaseInferEnumEncodings extends PhaseMisc

    Permalink
  105. class PhaseInferWidth extends PhaseMisc

    Permalink
  106. class PhaseInitReg extends PhaseNetlist

    Permalink

    Initialize all registers not initialized

  107. class PhaseMemBlackBoxingDefault extends PhaseMemBlackBoxingWithPolicy

    Permalink
  108. abstract class PhaseMemBlackBoxingWithPolicy extends PhaseMemBlackboxing

    Permalink
  109. trait PhaseMemBlackboxing extends PhaseNetlist

    Permalink
  110. trait PhaseMisc extends Phase

    Permalink
  111. class PhaseNameNodesByReflection extends PhaseMisc

    Permalink
  112. trait PhaseNetlist extends Phase

    Permalink
  113. class PhaseNormalizeNodeInputs extends PhaseNetlist

    Permalink
  114. class PhasePropagateNames extends PhaseMisc

    Permalink
  115. class PhasePullClockDomains extends PhaseNetlist

    Permalink
  116. class PhaseRemoveIntermediateUnnameds extends PhaseNetlist

    Permalink
  117. class PhaseRemoveUselessStuff extends PhaseNetlist

    Permalink
  118. class PhaseSimplifyNodes extends PhaseNetlist

    Permalink
  119. class PhaseStdLogicVectorAtTopLevelIo extends PhaseNetlist

    Permalink
  120. class PhaseVerilog extends PhaseMisc with VerilogBase

    Permalink
  121. class PhaseVhdl extends PhaseMisc with VhdlBase

    Permalink
  122. class RangedAssignmentFixed extends BitVectorAssignmentExpression with WidthProvider

    Permalink
  123. class RangedAssignmentFloating extends BitVectorAssignmentExpression with WidthProvider

    Permalink
  124. abstract class Resize extends Expression with WidthProvider

    Permalink
  125. class ResizeBits extends Resize

    Permalink
  126. class ResizeSInt extends Resize

    Permalink
  127. class ResizeUInt extends Resize

    Permalink
  128. class SIntBitAccessFixed extends BitVectorBitAccessFixed

    Permalink

    SInt access with a fix index

  129. class SIntBitAccessFloating extends BitVectorBitAccessFloating

    Permalink

    SInt access with a floating index

  130. class SIntLiteral extends BitVectorLiteral

    Permalink

    SInt literal

  131. class SIntRangedAccessFixed extends BitVectorRangedAccessFixed

    Permalink

    SInt range access with a fix range

  132. class SIntRangedAccessFloating extends BitVectorRangedAccessFloating

    Permalink

    SInt range access with a floating range

  133. class ScopeStatement extends AnyRef

    Permalink
  134. trait Statement extends ExpressionContainer with ContextUser with ScalaLocated with BaseNode

    Permalink
  135. trait StatementDoubleLinkedContainer[SC <: Statement with DoubleLinkedContainer[SC, SE], SE <: Statement with DoubleLinkedContainerElement[SC, SE]] extends Statement with DoubleLinkedContainer[SC, SE]

    Permalink
  136. trait StatementDoubleLinkedContainerElement[SC <: DoubleLinkedContainer[SC, SE], SE <: DoubleLinkedContainerElement[SC, SE]] extends Statement with DoubleLinkedContainerElement[SC, SE]

    Permalink
  137. abstract class SubAccess extends Modifier

    Permalink

    Base class for a subAccess

  138. class SuffixExpression extends Expression with ScalaLocated

    Permalink

    SuffixExpression

  139. trait Suffixable extends AnyRef

    Permalink
  140. class SwitchStatement extends TreeStatement

    Permalink
  141. class SwitchStatementElement extends ScalaLocated

    Permalink
  142. class SwitchStatementKeyBool extends Expression

    Permalink
  143. class Tab2 extends VerilogTheme

    Permalink
  144. class Tab4 extends VerilogTheme

    Permalink
  145. trait TreeStatement extends Statement

    Permalink
  146. class UIntBitAccessFixed extends BitVectorBitAccessFixed

    Permalink

    UInt access with a fix index

  147. class UIntBitAccessFloating extends BitVectorBitAccessFloating

    Permalink

    UInt access with a floating index

  148. class UIntLiteral extends BitVectorLiteral

    Permalink

    UInt literal

  149. class UIntRangedAccessFixed extends BitVectorRangedAccessFixed

    Permalink

    UInt range access with a fix range

  150. class UIntRangedAccessFloating extends BitVectorRangedAccessFloating

    Permalink

    UInt range access with a floating range

  151. abstract class UnaryOperator extends Operator

    Permalink
  152. abstract class UnaryOperatorWidthableInputs extends UnaryOperator with Widthable

    Permalink
  153. trait VerilogBase extends VhdlVerilogBase

    Permalink
  154. trait VerilogTheme extends AnyRef

    Permalink
  155. trait VhdlBase extends VhdlVerilogBase

    Permalink
  156. trait VhdlVerilogBase extends AnyRef

    Permalink
  157. class WhenStatement extends TreeStatement

    Permalink
  158. trait WidthProvider extends ScalaLocated

    Permalink
  159. trait Widthable extends WidthProvider

    Permalink

Value Members

  1. object AssertStatementHelper

    Permalink
  2. object AssertStatementKind

    Permalink
  3. object AssertStatementTrigger

    Permalink
  4. object AssignedBits

    Permalink

    Assigned bits

  5. object AssignedRange

    Permalink

    Range assignment

  6. object AssignmentStatement

    Permalink
  7. object BitAssignmentFixed

    Permalink

    Bit assignment with a fix index

  8. object BitAssignmentFloating

    Permalink

    Bit assignment with floating index

  9. object BitsLiteral

    Permalink

    Bits literal

  10. object BoolLiteral

    Permalink

    Bool literal

  11. object DataAssignmentStatement

    Permalink
  12. object GraphUtils

    Permalink
  13. object InferWidth

    Permalink
  14. object InferableEnumEncodingImplChoiceAnticipated extends InferableEnumEncodingImplChoice

    Permalink
  15. object InferableEnumEncodingImplChoiceFixed extends InferableEnumEncodingImplChoice

    Permalink
  16. object InferableEnumEncodingImplChoiceInferred extends InferableEnumEncodingImplChoice

    Permalink
  17. object InferableEnumEncodingImplChoiceUndone extends InferableEnumEncodingImplChoice

    Permalink
  18. object InitAssignmentStatement

    Permalink
  19. object InitialAssignmentStatement

    Permalink
  20. object InputNormalize

    Permalink
  21. object MULTIPLE_RAM extends MemBitsMaskKind

    Permalink
  22. object Misc

    Permalink
  23. object Operator

    Permalink

    Define all operator for each type

  24. object PhasePullClockDomains

    Permalink
  25. object RangedAssignmentFixed

    Permalink

    Range assignment with fix range

  26. object RangedAssignmentFloating

    Permalink

    Range assignment with a floating range

  27. object SINGLE_RAM extends MemBitsMaskKind

    Permalink
  28. object SIntLiteral

    Permalink

    SInt literal

  29. object ScalaUniverse

    Permalink
  30. object SpinalVerilogBoot

    Permalink
  31. object SpinalVhdlBoot

    Permalink
  32. object Statement

    Permalink
  33. object SuffixExpression

    Permalink
  34. object SwitchStatementKeyBool

    Permalink
  35. object SymplifyNode

    Permalink
  36. object TypeBits

    Permalink
  37. object TypeBool

    Permalink
  38. object TypeEnum

    Permalink
  39. object TypeSInt

    Permalink
  40. object TypeStruct

    Permalink
  41. object TypeUInt

    Permalink
  42. object UIntLiteral

    Permalink

    UInt literal

  43. object VhdlVerilogBase

    Permalink
  44. object classNameOf

    Permalink

Ungrouped