Package

spinal

core

Permalink

package core

Linear Supertypes
Ordering
  1. Alphabetic
  2. By Inheritance
Inherited
  1. core
  2. BaseTypeCast
  3. UFixCast
  4. SFixCast
  5. BaseTypeFactory
  6. UFixFactory
  7. SFixFactory
  8. TypeFactory
  9. VecFactory
  10. SIntFactory
  11. UIntFactory
  12. BitsFactory
  13. BoolFactory
  14. AnyRef
  15. Any
  1. Hide All
  2. Show All
Visibility
  1. Public
  2. All

Type Members

  1. trait AllowIoBundle extends AnyRef

    Permalink
  2. trait Area extends NameableByComponent with ContextUser with OwnableRef with ScalaLocated with ValCallbackRec with OverridedEqualsHashCode

    Permalink
  3. trait AreaObject extends Area

    Permalink
  4. trait AreaRoot extends Area

    Permalink
  5. trait AssertNodeSeverity extends AnyRef

    Permalink
  6. trait Assignable extends AnyRef

    Permalink

    Assignable trait

  7. trait Attribute extends SpinalTag

    Permalink
  8. class AttributeFlag extends Attribute

    Permalink
  9. class AttributeInteger extends Attribute

    Permalink
  10. sealed trait AttributeKind extends AnyRef

    Permalink
  11. class AttributeString extends Attribute

    Permalink
  12. abstract class BaseType extends Data with DeclarationStatement with StatementDoubleLinkedContainer[BaseType, AssignmentStatement] with Expression

    Permalink

    Abstract base class of all Spinal types

  13. trait BaseTypeCast extends SFixCast with UFixCast

    Permalink

    Base type Cast

  14. trait BaseTypeFactory extends BoolFactory with BitsFactory with UIntFactory with SIntFactory with VecFactory with SFixFactory with UFixFactory

    Permalink

    Base type factory

  15. class BaseTypePimper[T <: BaseType] extends AnyRef

    Permalink
  16. trait BaseTypePrimitives[T <: BaseType] extends AnyRef

    Permalink
  17. implicit class BigDecimalBuilder extends AnyRef

    Permalink

    BigDecimal Builder

  18. case class BigIntBuilder(i: BigInt) extends Product with Serializable

    Permalink

    BigInt Builder

  19. case class BitCount(value: Int) extends Product with Serializable

    Permalink

    Represent the number of bit of a data

  20. abstract class BitVector extends BaseType with Widthable

    Permalink

    BitVector is a family of types for storing multiple bits of information in a single value.

    BitVector is a family of types for storing multiple bits of information in a single value. This type has three subtypes that can be used to model different behaviours:

    • Bits
    • UInt (unsigned integer)
    • SInt (signed integer)
    See also

    BitVector Documentation

  21. abstract class BitVectorLiteralFactory[T <: BitVector] extends AnyRef

    Permalink

    Base class to create Bit Vector from literal

  22. class Bits extends BitVector with DataPrimitives[Bits] with BaseTypePrimitives[Bits] with BitwiseOp[Bits]

    Permalink

    The Bits type corresponds to a vector of bits that does not convey any arithmetic meaning.

    The Bits type corresponds to a vector of bits that does not convey any arithmetic meaning.

    Example:
    1. val myBits1 = Bits(32 bits)
      val myBits2 = B(25, 8 bits)
      val myBits3 = B"8'xFF"
      val myBits4 = B"1001_0011
    See also

    Bits Documentation

  23. trait BitsFactory extends AnyRef

    Permalink

    Bits factory used for instance by the IODirection to create a in/out Bits

  24. trait BitwiseOp[T <: Data] extends AnyRef

    Permalink

    Bitwise Operation

    Bitwise Operation

    T

    the type which is associated with the bitwise operation

  25. abstract class BlackBox extends Component

    Permalink

    A blackbox allows the user to integrate an existing VHDL/Verilog component into the design by just specifying the interfaces.

    A blackbox allows the user to integrate an existing VHDL/Verilog component into the design by just specifying the interfaces.

    Example:
    1.  class Ram_1w_1r(wordWidth: Int, wordCount: Int) extends BlackBox {
           val generic = new Generic {
               val wordCount = Ram_1w_1r.this.wordCount
               val wordWidth = Ram_1w_1r.this.wordWidth
           }
           val io = new Bundle {
               val clk = in Bool()
               val wr = new Bundle {
                   val en   = in Bool()
                   val addr = in UInt (log2Up(wordCount) bit)
                   val data = in Bits (wordWidth bit)
               }
               val rd = new Bundle {
                   val en   = in Bool()
                   val addr = in UInt (log2Up(wordCount) bit)
                   val data = out Bits (wordWidth bit)
               }
           }
           mapClockDomain(clock=io.clk)
      }
  26. class BlackBoxImpl extends AnyRef

    Permalink
  27. abstract class BlackBoxULogic extends BlackBox

    Permalink

    Create a blackBox with std_ulogic instead of std_logic

  28. class Bool extends BaseType with DataPrimitives[Bool] with BaseTypePrimitives[Bool] with BitwiseOp[Bool]

    Permalink

    The Bool type corresponds to a boolean value (True or False)

    The Bool type corresponds to a boolean value (True or False)

    Example:
    1. val myBool = Bool()
      myBool := False
      myBool := Bool(false)
    See also

    Bool Documentation

  29. case class BoolEdges() extends Bundle with Product with Serializable

    Permalink

    Bundle for the edge detection

  30. trait BoolFactory extends AnyRef

    Permalink

    Bool factory used for instance by the IODirection to create a in/out Bool()

  31. class Bundle extends MultiData with Nameable with ValCallbackRec

    Permalink
  32. class BundleCase extends Bundle

    Permalink
  33. case class ClockDomain(clock: Bool, reset: Bool = null, dummyArg: DummyTrait = null, softReset: Bool = null, clockEnable: Bool = null, config: ClockDomainConfig = GlobalData.get.commonClockConfig, frequency: ClockFrequency = UnknownFrequency(), clockEnableDivisionRate: DivisionRate = ClockDomain.UnknownDivisionRate()) extends SpinalTagReady with Product with Serializable

    Permalink

    clock and reset signals can be combined to create a clock domain.

    clock and reset signals can be combined to create a clock domain. Clock domains could be applied to some area of the design and then all synchronous elements instantiated into this area will then implicitly use this clock domain. Clock domain application work like a stack, which mean, if you are in a given clock domain, you can still apply another clock domain locally

    See also

    ClockDomain Documentation

  34. sealed trait ClockDomainBoolTag extends SpinalTag

    Permalink
  35. case class ClockDomainConfig(clockEdge: EdgeKind = RISING, resetKind: ResetKind = ASYNC, resetActiveLevel: Polarity = HIGH, softResetActiveLevel: Polarity = HIGH, clockEnableActiveLevel: Polarity = HIGH) extends Product with Serializable

    Permalink
  36. case class ClockDomainTag(clockDomain: ClockDomain) extends SpinalTag with Product with Serializable

    Permalink
  37. case class ClockDrivedTag(driver: Bool) extends SpinalTag with Product with Serializable

    Permalink
  38. case class ClockDriverTag(drived: Bool) extends SpinalTag with Product with Serializable

    Permalink
  39. class ClockEnableArea extends Area with PostInitCallback

    Permalink

    Clock Area with a special clock enable

  40. case class ClockEnableTag(clockDomain: ClockDomain) extends ClockDomainBoolTag with Product with Serializable

    Permalink
  41. case class ClockSyncTag(a: Bool, b: Bool) extends SpinalTag with Product with Serializable

    Permalink
  42. case class ClockTag(clockDomain: ClockDomain) extends ClockDomainBoolTag with Product with Serializable

    Permalink
  43. class ClockingArea extends Area with PostInitCallback

    Permalink

    Clock domains could be applied to some area of the design and then all synchronous elements instantiated into this area will then implicitly use this clock domain.

    Clock domains could be applied to some area of the design and then all synchronous elements instantiated into this area will then implicitly use this clock domain.

    See also

    ClockDomain Documentation

  44. abstract class Component extends NameableByComponent with ContextUser with ScalaLocated with PostInitCallback with Stackable with OwnableRef with SpinalTagReady with OverridedEqualsHashCode with ValCallbackRec

    Permalink

    Abstract class used to create a new Component

    Abstract class used to create a new Component

    Example:
    1. class MyAndGate extends Component {
        val io = new Bundle{
          val a,b = in Bool()
          val res = out Bool()
        }
        io.res := io.a & io.b
      }
    See also

    Component Documentation

  45. class Composite[T <: Nameable] extends Area

    Permalink

    Sometime, creating a Component to define some logic is overkill.

    Sometime, creating a Component to define some logic is overkill. For this kind of cases you can use Area to define a group of signals/logic.

    Example:
    1. val tickConter = new Area{
        val tick = Reg(UInt(8 bits) init(0)
        tick := tick + 1
      }
    See also

    Area Documentation

  46. trait ConditionalContext extends GlobalDataUser

    Permalink
  47. trait ContextUser extends GlobalDataUser with ScalaLocated

    Permalink
  48. case class CyclesCount(value: BigInt) extends Product with Serializable

    Permalink

    Cycles number representation

  49. trait Data extends ContextUser with NameableByComponent with Assignable with SpinalTagReady with GlobalDataUser with ScalaLocated with OwnableRef with OverridedEqualsHashCode with InComponent

    Permalink
  50. class DataPimper[T <: Data] extends DataPrimitives[T]

    Permalink

    Should not extends AnyVal, Because it create kind of strange call stack move that make error reporting miss accurate

  51. trait DataPrimitives[T <: Data] extends AnyRef

    Permalink
  52. trait DataWrapper extends Data

    Permalink
  53. class DefaultTag extends SpinalTag

    Permalink
  54. case class Device(vendor: String = "?", family: String = "?", name: String = "?") extends Product with Serializable

    Permalink

    target device

  55. class DontName extends Annotation with Annotation with ClassfileAnnotation

    Permalink
  56. case class DoubleBuilder(d: Double) extends Product with Serializable

    Permalink

    Double Builder

  57. trait DummyTrait extends AnyRef

    Permalink
  58. case class DumpWaveConfig(depth: Int = 0, vcdPath: String = "wave.vcd") extends Product with Serializable

    Permalink
  59. trait DuringWritePolicy extends AnyRef

    Permalink
  60. sealed trait EdgeKind extends AnyRef

    Permalink
  61. class ElseWhenClause extends AnyRef

    Permalink
  62. implicit class ElseWhenClauseBuilder extends AnyRef

    Permalink

    Implicit clause builder for elseWhen

  63. class EnumLiteral[T <: SpinalEnum] extends Literal with InferableEnumEncodingImpl

    Permalink

    Node representation which contains the value of an SpinalEnumElement

  64. class EnumPoison extends Literal with InferableEnumEncodingImpl

    Permalink
  65. case class ExpNumber(value: Int) extends Product with Serializable

    Permalink

    Exponent representation

  66. class ExternalDriverTag extends SpinalTag

    Permalink
  67. case class FixPointConfig(roundType: RoundType, symmetric: Boolean) extends Product with Serializable

    Permalink
  68. type FixedFrequency = core.ClockDomain.FixedFrequency

    Permalink
  69. class GenerationFlags extends AnyRef

    Permalink
  70. class Generic extends AnyRef

    Permalink

    Create a generic for a BlackBox

    Create a generic for a BlackBox

    Example:
    1. class myMemory(sizeMem: Int) extends BlackBox{
          val generic = new Generic{
           val size = sizeMem
          }
          val io = new Bundle { ... }
      }
  71. case class GenericValue(e: Expression) extends SpinalTag with Product with Serializable

    Permalink
  72. class GlobalData extends AnyRef

    Permalink

    Global data

  73. trait GlobalDataUser extends AnyRef

    Permalink

    Get a link to the globalData

  74. class HardType[T <: Data] extends AnyRef

    Permalink
  75. case class HertzNumber(v: BigDecimal) extends PhysicalNumber[HertzNumber] with Product with Serializable

    Permalink

    Frequency representation

  76. type IClockDomainFrequency = ClockFrequency

    Permalink
  77. trait IODirection extends BaseTypeFactory

    Permalink

    Trait used to set the direction of a data

  78. class IfDefTag extends SpinalTag

    Permalink
  79. abstract class ImplicitArea[T] extends Area

    Permalink

    Create an Area which can be assign to a data

    Create an Area which can be assign to a data

    Example:
    1. class Counter extends ImplicitArea[UInt]{
         val cnt = Reg(UInt(8 bits)
         ...
         override def implicitValue: UInt = cnt
      }
      val myCounter = Counter()
      io.myUInt = myCounter
  80. trait InComponent extends AnyRef

    Permalink
  81. final class IntBuilder extends AnyVal

    Permalink

    Integer Builder

  82. sealed trait Language extends AnyRef

    Permalink
  83. implicit class LiteralBuilder extends AnyRef

    Permalink

    Literal builder S/U/B"e.g. : B"8'xFF"

  84. class MaskedBoolean extends AnyRef

    Permalink

    Masked Literal

    Masked Literal

    Example:
    1. val itMatch = myBits === M"00--10--" // - don't care value
  85. class MaskedLiteral extends AnyRef

    Permalink
  86. class Mem[T <: Data] extends DeclarationStatement with StatementDoubleLinkedContainer[Mem[_], MemPortStatement] with WidthProvider with SpinalTagReady with InComponent

    Permalink
  87. trait MemBlackboxingPolicy extends AnyRef

    Permalink
  88. trait MemPortStatement extends LeafStatement with StatementDoubleLinkedContainerElement[Mem[_], MemPortStatement]

    Permalink
  89. class MemReadAsync extends MemPortStatement with WidthProvider with SpinalTagReady with ContextUser with Expression

    Permalink
  90. class MemReadSync extends MemPortStatement with WidthProvider with SpinalTagReady with ContextUser with Expression

    Permalink
  91. class MemReadWrite extends MemPortStatement with WidthProvider with SpinalTagReady with ContextUser with Expression

    Permalink
  92. case class MemSymbolesMapping(name: String, range: Range) extends Product with Serializable

    Permalink
  93. case class MemSymbolesTag(mapping: Seq[MemSymbolesMapping]) extends SpinalTag with Product with Serializable

    Permalink
  94. trait MemTechnologyKind extends AnyRef

    Permalink
  95. class MemWrite extends MemPortStatement with WidthProvider with SpinalTagReady

    Permalink
  96. class MemWritePayload[T <: Data] extends Bundle

    Permalink
  97. trait MinMaxProvider extends AnyRef

    Permalink

    Min max base function

  98. type Module = Component

    Permalink
  99. abstract class MultiData extends Data

    Permalink

    Base class for multi data like Vec, Bundle

  100. trait Nameable extends OwnableRef with ContextUser

    Permalink
  101. trait NameableByComponent extends Nameable with GlobalDataUser

    Permalink
  102. class NamingScope extends AnyRef

    Permalink
  103. trait Num[T <: Data] extends AnyRef

    Permalink

    Base operations for numbers

    Base operations for numbers

    T

    the type which is associated with the base operation

  104. trait OverridedEqualsHashCode extends AnyRef

    Permalink
  105. trait OwnableRef extends AnyRef

    Permalink
  106. abstract class PhysicalNumber[T <: PhysicalNumber[_]] extends AnyRef

    Permalink

    Base class for the Physical representation (Hertz, Time, ...)

  107. sealed trait Polarity extends AnyRef

    Permalink
  108. case class PosCount(value: Int) extends Product with Serializable

    Permalink

    Position representation

  109. case class QFormat(width: Int, fraction: Int, signed: Boolean) extends Product with Serializable

    Permalink
  110. class Ram_1w_1ra extends BlackBox

    Permalink

    Ram 1w 1ra

  111. class Ram_1w_1rs extends BlackBox

    Permalink

    Ram 1w 1rs

  112. class Ram_1wors extends BlackBox

    Permalink

    Ram 1wors

  113. class Ram_1wrs extends BlackBox

    Permalink

    Ram 1wrs

  114. class Ram_2c_1w_1rs extends BlackBox

    Permalink

    Ram 2c 1w 1rs

  115. class Ram_2wrs extends BlackBox

    Permalink

    Ram 2wrs

  116. implicit class RangePimper extends AnyRef

    Permalink

    Implicit Range helper

  117. trait ReadUnderWritePolicy extends AnyRef

    Permalink
  118. case class Ref[T](value: T) extends Product with Serializable

    Permalink

    Use to give value by reference to a function

  119. class ResetArea extends Area with PostInitCallback

    Permalink

    ResetArea allow to reset an area with a special reset combining with the current reset (cumulative)

  120. sealed trait ResetKind extends AnyRef

    Permalink
  121. case class ResetTag(clockDomain: ClockDomain) extends ClockDomainBoolTag with Product with Serializable

    Permalink
  122. sealed trait RoundType extends AnyRef

    Permalink
  123. class SFix extends XFix[SFix, SInt]

    Permalink

    Signed fix point

    Signed fix point

    See also

    SFix Documentation

  124. class SFix2D extends Bundle

    Permalink
  125. trait SFixCast extends AnyRef

    Permalink
  126. trait SFixFactory extends TypeFactory

    Permalink
  127. class SInt extends BitVector with Num[SInt] with MinMaxProvider with DataPrimitives[SInt] with BaseTypePrimitives[SInt] with BitwiseOp[SInt]

    Permalink

    The SInt type corresponds to a vector of bits that can be used for signed integer arithmetic.

    The SInt type corresponds to a vector of bits that can be used for signed integer arithmetic.

    Example:
    1. val mySInt = SInt(8 bits)
      mySInt    := S(4, 8 bits) + S"0000_1111"
      mySInt    := S(4) - S"h1A"
    See also

    SInt Documentation

  128. trait SIntFactory extends AnyRef

    Permalink

    SInt factory used for instance by the IODirection to create a in/out SInt

  129. implicit class SIntPimper extends AnyRef

    Permalink

    Implicit SInt helper

  130. class SafeStack[T] extends AnyRef

    Permalink

    Safe Stack

  131. class SafeStackWithStackable[T <: Stackable] extends SafeStack[T]

    Permalink
  132. trait ScalaLocated extends GlobalDataUser

    Permalink
  133. class ScopeProperty[T] extends AnyRef

    Permalink
  134. class ScopePropertyContext extends AnyRef

    Permalink
  135. class ScopePropertyValue extends AnyRef

    Permalink
  136. case class SlicesCount(value: Int) extends Product with Serializable

    Permalink

    Slice size representation

  137. class SlowArea extends ClockingArea

    Permalink

    Define a clock domain which is x time slower than the current clock

  138. case class SpinalConfig(mode: SpinalMode = null, flags: HashSet[Any] = mutable.HashSet[Any](), debugComponents: HashSet[Class[_]] = mutable.HashSet[Class[_]](), keepAll: Boolean = false, defaultConfigForClockDomains: ClockDomainConfig = ClockDomainConfig(), onlyStdLogicVectorAtTopLevelIo: Boolean = false, defaultClockDomainFrequency: IClockDomainFrequency = UnknownFrequency(), targetDirectory: String = ".", oneFilePerComponent: Boolean = false, netlistFileName: String = null, dumpWave: DumpWaveConfig = null, globalPrefix: String = "", privateNamespace: Boolean = false, formalAsserts: Boolean = false, anonymSignalPrefix: String = null, device: Device = Device(), inlineRom: Boolean = false, genVhdlPkg: Boolean = true, verbose: Boolean = false, mergeAsyncProcess: Boolean = false, asyncResetCombSensitivity: Boolean = false, anonymSignalUniqueness: Boolean = false, noRandBoot: Boolean = false, randBootFixValue: Boolean = true, noAssert: Boolean = false, fixToWithWrap: Boolean = true, headerWithDate: Boolean = false, headerWithRepoHash: Boolean = true, removePruned: Boolean = false, phasesInserters: ArrayBuffer[(ArrayBuffer[Phase]) ⇒ Unit] = ..., transformationPhases: ArrayBuffer[Phase] = ArrayBuffer[Phase](), memBlackBoxers: ArrayBuffer[Phase] = ..., rtlHeader: String = null, scopeProperties: LinkedHashMap[ScopeProperty[_], Any] = ..., _withEnumString: Boolean = true, enumPrefixEnable: Boolean = true, enumGlobalEnable: Boolean = false) extends Product with Serializable

    Permalink

    Spinal configuration for the generation of the RTL

  139. class SpinalEnum extends Nameable with ScalaLocated

    Permalink

    Base class for creating enumeration

    Base class for creating enumeration

    Example:
    1. object MyEnum extends SpinalEnum(binarySequential){
        val s1, s2, s3, s4 = newElement()
      }

      SpinalEnum contains a list of SpinalEnumElement that is the definition of an element. SpinalEnumCraft is the hardware representation of the the element.

    See also

    Enumeration Documentation

  140. class SpinalEnumCraft[T <: SpinalEnum] extends BaseType with InferableEnumEncodingImpl with BaseTypePrimitives[SpinalEnumCraft[T]] with DataPrimitives[SpinalEnumCraft[T]]

    Permalink

    Hardware representation of an enumeration

  141. class SpinalEnumElement[T <: SpinalEnum] extends Nameable

    Permalink

    Definition of an element of the enumeration

  142. trait SpinalEnumEncoding extends Nameable with ScalaLocated

    Permalink

    Trait to define an encoding

  143. class SpinalExit extends Exception

    Permalink
  144. trait SpinalMode extends AnyRef

    Permalink
  145. class SpinalReport[T <: Component] extends AnyRef

    Permalink

    Spinal report give after the generation of the RTL

  146. abstract class SpinalStruct extends BaseType with Nameable with ValCallbackRec with DataPrimitives[SpinalStruct] with Suffixable

    Permalink

    Class representing Verilog Struct and VHDL Record data types.

  147. trait SpinalTag extends AnyRef

    Permalink
  148. trait SpinalTagReady extends AnyRef

    Permalink
  149. trait Stackable extends AnyRef

    Permalink
  150. class SwitchContext extends AnyRef

    Permalink
  151. case class TimeNumber(v: BigDecimal) extends PhysicalNumber[TimeNumber] with Product with Serializable

    Permalink

    Time representation

  152. implicit class Tuple10Pimper extends TuplePimperBase

    Permalink
  153. implicit class Tuple11Pimper extends TuplePimperBase

    Permalink
  154. implicit class Tuple2Pimper extends TuplePimperBase

    Permalink
  155. implicit class Tuple3Pimper extends TuplePimperBase

    Permalink
  156. implicit class Tuple4Pimper extends TuplePimperBase

    Permalink
  157. implicit class Tuple5Pimper extends TuplePimperBase

    Permalink
  158. implicit class Tuple6Pimper extends TuplePimperBase

    Permalink
  159. implicit class Tuple7Pimper extends TuplePimperBase

    Permalink
  160. implicit class Tuple8Pimper extends TuplePimperBase

    Permalink
  161. implicit class Tuple9Pimper extends TuplePimperBase

    Permalink
  162. class TuplePimperBase extends AnyRef

    Permalink
  163. trait TypeFactory extends AnyRef

    Permalink
  164. class UFix extends XFix[UFix, UInt]

    Permalink

    Unsigned fix point

    Unsigned fix point

    See also

    UFix Documentation

  165. class UFix2D extends Bundle

    Permalink

    Two-dimensional XFix

  166. trait UFixCast extends AnyRef

    Permalink
  167. trait UFixFactory extends TypeFactory

    Permalink
  168. class UInt extends BitVector with Num[UInt] with MinMaxProvider with DataPrimitives[UInt] with BaseTypePrimitives[UInt] with BitwiseOp[UInt]

    Permalink

    The UInt type corresponds to a vector of bits that can be used for unsigned integer arithmetic.

    The UInt type corresponds to a vector of bits that can be used for unsigned integer arithmetic.

    Example:
    1. val myUInt = UInt(8 bits)
       myUInt := U(2,8 bits)
       myUInt := U(2)
       myUInt := U"0000_0101"
       myUInt := U"h1A"
    See also

    UInt Documentation

  169. case class UInt2D(xBitCount: BitCount, yBitCount: BitCount) extends Bundle with Product with Serializable

    Permalink

    Define an UInt 2D point

    Define an UInt 2D point

    xBitCount

    width of the x point

    yBitCount

    width of the y point

    Example:
    1. val positionOnScreen = Reg(UInt2D(log2Up(p.screenResX) bits, log2Up(p.screenResY) bits))
  170. trait UIntFactory extends AnyRef

    Permalink

    UInt factory used for instance by the IODirection to create a in/out UInt

  171. implicit class UIntPimper extends AnyRef

    Permalink

    Implicit UInt helper

  172. type UnknownFrequency = core.ClockDomain.UnknownFrequency

    Permalink
  173. trait ValCallbackRec extends ValCallback

    Permalink

    The Bundle is a composite type that defines a group of named signals (of any SpinalHDL basic type) under a single name.

    The Bundle is a composite type that defines a group of named signals (of any SpinalHDL basic type) under a single name. The Bundle can be used to model data structures, buses and interfaces.

    Example:
    1. val cmd = new Bundle{
        val init   = in Bool()
        val start  = in Bool()
        val result = out Bits(32 bits)
      }
    See also

    Bundle Documentation

  174. class VarAssignementTag extends SpinalTag

    Permalink
  175. class Vec[T <: Data] extends MultiData with IndexedSeq[T]

    Permalink

    The Vec is a composite type that defines a group of indexed signals (of any SpinalHDL basic type) under a single name

    The Vec is a composite type that defines a group of indexed signals (of any SpinalHDL basic type) under a single name

    Example:
    1. val myVecOfSInt = Vec(SInt(8 bits), 2)
    See also

    Vec Documentation

  176. class VecAccessAssign[T <: Data] extends Assignable

    Permalink
  177. class VecBuilder extends AnyRef

    Permalink
    Definition Classes
    VecFactory
  178. trait VecFactory extends AnyRef

    Permalink

    Vec factory

  179. class WhenContext extends ConditionalContext with ScalaLocated

    Permalink

    else / else if statement

    else / else if statement

    See also

    when Documentation

  180. abstract class XFix[T <: XFix[T, R], R <: BitVector with Num[R]] extends MultiData

    Permalink

    Base class for SFix and UFix

  181. type dontName = spinal.core.DontName @scala.annotation.meta.field

    Permalink

Value Members

  1. object ASYNC extends ResetKind

    Permalink
  2. object AllowMixedWidth extends SpinalTag

    Permalink
  3. object AllowPartialyAssignedTag extends SpinalTag

    Permalink
  4. object Analog

    Permalink

    Set a data to Analog

  5. object AnnotationUtils

    Permalink
  6. object ArrayManager

    Permalink
  7. object B extends BitVectorLiteralFactory[Bits]

    Permalink

    Used to create a new Bits or cast to Bits

  8. object BOOT extends ResetKind

    Permalink
  9. object BaseType

    Permalink
  10. implicit def BaseTypePimped[T <: BaseType](that: T): BaseTypePimper[T]

    Permalink
  11. implicit def BigIntToBits(that: BigInt): Bits

    Permalink
  12. implicit def BigIntToBuilder(value: BigInt): BigIntBuilder

    Permalink
  13. implicit def BigIntToSInt(that: BigInt): SInt

    Permalink
  14. implicit def BigIntToUInt(that: BigInt): UInt

    Permalink
  15. def Bits(width: BitCount): Bits

    Permalink

    Create a new Bits of a given width

    Create a new Bits of a given width

    Definition Classes
    BitsFactory
  16. def Bits(u: Unit = null): Bits

    Permalink

    Create a new Bits

    Create a new Bits

    Definition Classes
    BitsFactory
  17. def Bool(value: Boolean): Bool

    Permalink
  18. def Bool(u: DummyTrait = DummyObject): Bool

    Permalink
  19. def Bool: Bool

    Permalink
  20. implicit def BooleanPimped(that: Boolean): BooleanPimped

    Permalink
  21. object COMMENT_ATTRIBUTE extends AttributeKind

    Permalink
  22. object Cat

    Permalink

    Concatenate a list of data

  23. object ClassName

    Permalink
  24. object Clock

    Permalink
  25. object ClockDomain extends Serializable

    Permalink
  26. object ClockDomainStack extends ScopeProperty[Handle[ClockDomain]]

    Permalink
  27. object CombInit

    Permalink

    Declare a register with an initialize value

  28. object Component

    Permalink
  29. object ConditionalContext

    Permalink
  30. object CyclesCount extends Serializable

    Permalink
  31. object DEFAULT_ATTRIBUTE extends AttributeKind

    Permalink
  32. object Data

    Permalink
  33. object DataAssign

    Permalink
  34. implicit def DataPimped[T <: Data](that: T): DataPimper[T]

    Permalink

    Implicit Data helper

  35. val DefaultFixPointConfig: FixPointConfig

    Permalink
  36. object Device extends Serializable

    Permalink
  37. implicit def DoubleToBuilder(value: Double): DoubleBuilder

    Permalink
  38. object Driver

    Permalink
  39. object DslScopeStack extends ScopeProperty[ScopeStatement]

    Permalink
  40. object DummyObject extends DummyTrait

    Permalink
  41. object ERROR extends AssertNodeSeverity

    Permalink
  42. implicit def EnumCtoEnumC2[T <: SpinalEnum, T2 <: T](craft: SpinalEnumCraft[T2]): SpinalEnumCraft[T]

    Permalink
  43. implicit def EnumCtoEnumC3[T <: SpinalEnum, T2 <: T](craft: SpinalEnumCraft[T]): SpinalEnumCraft[T2]

    Permalink
  44. implicit def EnumElementToCraft[T <: SpinalEnum](element: SpinalEnumElement[T]): SpinalEnumCraft[T]

    Permalink
  45. implicit def EnumEtoEnumE2[T <: SpinalEnum, T2 <: T](element: SpinalEnumElement[T2]): SpinalEnumElement[T]

    Permalink

    Implicit enum conversion

  46. implicit def EnumEtoEnumE3[T <: SpinalEnum, T2 <: T](element: SpinalEnumElement[T]): SpinalEnumElement[T2]

    Permalink
  47. object FAILURE extends AssertNodeSeverity

    Permalink
  48. object FALLING extends EdgeKind

    Permalink
  49. def False: Bool

    Permalink
  50. object FixPointProperty extends ScopeProperty[FixPointConfig]

    Permalink
  51. def FixedFrequency(value: HertzNumber): core.ClockDomain.FixedFrequency

    Permalink
  52. object Formal

    Permalink
  53. object GenerationFlags

    Permalink
  54. object GlobalData

    Permalink
  55. object HIGH extends Polarity

    Permalink
  56. object HardType

    Permalink
  57. object ImplicitArea

    Permalink
  58. object Info

    Permalink
  59. object InitAssign

    Permalink
  60. object InitialAssign

    Permalink
  61. implicit def IntPimped(that: Int): IntPimped

    Permalink
  62. implicit def IntToBits(that: Int): Bits

    Permalink
  63. implicit def IntToBuilder(value: Int): IntBuilder

    Permalink

    Implicit Int/BigInt/Double to Builder

  64. implicit def IntToSInt(that: Int): SInt

    Permalink
  65. implicit def IntToUInt(that: Int): UInt

    Permalink

    Implicit conversion from Int/BigInt/String to UInt/SInt/Bits

  66. object LOW extends Polarity

    Permalink
  67. object Language

    Permalink
  68. object LocatedPendingError

    Permalink
  69. implicit def LongToBits(that: Long): Bits

    Permalink
  70. implicit def LongToSInt(that: Long): SInt

    Permalink
  71. implicit def LongToUInt(that: Long): UInt

    Permalink
  72. val LowCostFixPointConfig: FixPointConfig

    Permalink
  73. object LutInputs extends ScopeProperty[Int]

    Permalink
  74. object MaskedLiteral

    Permalink
  75. object Mem

    Permalink
  76. object MemReadAsync

    Permalink
  77. object MemReadSync

    Permalink
  78. object MemReadWrite

    Permalink
  79. object MemWrite

    Permalink
  80. object Mux

    Permalink

    Mux operation

  81. object NOTE extends AssertNodeSeverity

    Permalink
  82. object Nameable

    Permalink
  83. object OwnableRef

    Permalink
  84. object PendingError

    Permalink
  85. object REPORT_TIME

    Permalink
  86. object RISING extends EdgeKind

    Permalink
  87. object Reg

    Permalink

    Create a register

  88. object RegInit

    Permalink

    Declare a register with an initialize value

  89. object RegNext

    Permalink

    Register a signal of one clock

  90. object RegNextWhen

    Permalink

    Register a signal when a condition is true

  91. object RoundType

    Permalink
  92. object S extends BitVectorLiteralFactory[SInt]

    Permalink

    Used to create a new SInt or cast to SInt

  93. object SF

    Permalink
  94. def SFix(peak: ExpNumber, resolution: ExpNumber): SFix

    Permalink
    Definition Classes
    SFixFactory
  95. def SFix(peak: ExpNumber, width: BitCount): SFix

    Permalink
    Definition Classes
    SFixFactory
  96. object SFix2D

    Permalink

    Two-dimensional SFix

  97. def SInt(width: BitCount): SInt

    Permalink

    Create a new SInt of a given width

    Create a new SInt of a given width

    Definition Classes
    SIntFactory
  98. def SInt(u: Unit = null): SInt

    Permalink

    Create a new SInt

    Create a new SInt

    Definition Classes
    SIntFactory
  99. object SQ

    Permalink
  100. object SYNC extends ResetKind

    Permalink
  101. object ScalaLocated

    Permalink
  102. object ScopeProperty

    Permalink
  103. object Select

    Permalink
  104. object Spinal

    Permalink
  105. object SpinalConfig extends Serializable

    Permalink
  106. object SpinalEnumEncoding

    Permalink

    Used to create a custom encoding

    Used to create a custom encoding

    Example:
    1. object BR extends SpinalEnum{
        val NE, EQ, J, JR = newElement()
        defaultEncoding = SpinalEnumEncoding("opt")(
            EQ -> 0,
            NE -> 1,
            J  -> 2,
            JR -> 3 )
      }
  107. object SpinalError

    Permalink
  108. object SpinalExit extends Serializable

    Permalink
  109. object SpinalInfo

    Permalink
  110. object SpinalLog

    Permalink
  111. object SpinalMap

    Permalink

    Spinal map

  112. object SpinalProgress

    Permalink
  113. object SpinalSystemVerilog

    Permalink
  114. object SpinalTagReady

    Permalink
  115. object SpinalVerilog

    Permalink
  116. object SpinalVhdl

    Permalink
  117. object SpinalWarning

    Permalink
  118. object SwitchStack extends ScopeProperty[SwitchContext]

    Permalink
  119. object SystemVerilog extends SpinalMode

    Permalink
  120. def True: Bool

    Permalink

    True / False definition

  121. object U extends BitVectorLiteralFactory[UInt]

    Permalink

    Used to create a new UInt or cast to UInt

  122. object UF

    Permalink
  123. def UFix(peak: ExpNumber, resolution: ExpNumber): UFix

    Permalink
    Definition Classes
    UFixFactory
  124. def UFix(peak: ExpNumber, width: BitCount): UFix

    Permalink
    Definition Classes
    UFixFactory
  125. object UFix2D

    Permalink

    Two-dimensional UFix

  126. def UInt(width: BitCount): UInt

    Permalink

    Create a new UInt of a given width

    Create a new UInt of a given width

    Definition Classes
    UIntFactory
  127. def UInt(u: Unit = null): UInt

    Permalink

    Create a new UInt

    Create a new UInt

    Definition Classes
    UIntFactory
  128. object UInt2D extends Serializable

    Permalink
  129. object UQ

    Permalink
  130. def UnknownFrequency(): core.ClockDomain.UnknownFrequency

    Permalink
  131. object VHDL extends SpinalMode

    Permalink
  132. def Vec[T <: Data](firstElement: T, followingElements: T*): Vec[T]

    Permalink
    Definition Classes
    VecFactory
  133. def Vec[T <: Data](gen: HardType[T], size: Int): Vec[T]

    Permalink
    Definition Classes
    VecFactory
  134. def Vec[T <: Data](gen: ⇒ T, size: Int): Vec[T]

    Permalink
    Definition Classes
    VecFactory
  135. def Vec[T <: Data](elements: TraversableOnce[T], dataType: HardType[T] = null): Vec[T]

    Permalink
    Definition Classes
    VecFactory
  136. val Vec: VecBuilder

    Permalink
    Definition Classes
    VecFactory
  137. object Verilator

    Permalink
  138. object Verilog extends SpinalMode

    Permalink
  139. object WARNING extends AssertNodeSeverity

    Permalink
  140. object addDefaultGenericValue extends SpinalTag

    Permalink

    In VHDL add the generic value in the definition of the blackbox

  141. object allowAssignmentOverride extends SpinalTag

    Permalink
  142. object allowDirectionLessIoTag extends SpinalTag

    Permalink
  143. def assert(assertion: Bool, message: Seq[Any], severity: AssertNodeSeverity): AssertStatement

    Permalink
  144. def assert(assertion: Bool, message: String, severity: AssertNodeSeverity): AssertStatement

    Permalink
  145. def assert(assertion: Bool, message: Seq[Any]): AssertStatement

    Permalink
  146. def assert(assertion: Bool, message: String): AssertStatement

    Permalink
  147. def assert(assertion: Bool, severity: AssertNodeSeverity): AssertStatement

    Permalink
  148. def assert(assertion: Bool): AssertStatement

    Permalink
  149. final def assert(assertion: Boolean, message: ⇒ Any): Unit

    Permalink
    Annotations
    @elidable( ASSERTION ) @inline()
  150. def assert(assertion: Boolean): Unit

    Permalink

    Assertion

    Assertion

    Annotations
    @elidable( ASSERTION )
  151. def assume(assertion: Bool): AssertStatement

    Permalink
  152. def assumeInitial(assertion: Bool): AssertStatement

    Permalink
  153. object auto extends MemTechnologyKind

    Permalink
  154. object binaryOneHot extends SpinalEnumEncoding

    Permalink

    Binary One hot encoding

    Binary One hot encoding

    Example:
    1. 001, 010, 100
  155. object binarySequential extends SpinalEnumEncoding

    Permalink

    Binary Sequential

    Binary Sequential

    Example:
    1. 000, 001, 010, 011, 100, 101, ....
  156. object blackboxAll extends MemBlackboxingPolicy

    Permalink
  157. object blackboxAllWhatsYouCan extends MemBlackboxingPolicy

    Permalink
  158. object blackboxByteEnables extends MemBlackboxingPolicy

    Permalink
  159. object blackboxOnlyIfRequested extends MemBlackboxingPolicy

    Permalink
  160. object blackboxRequestedAndUninferable extends MemBlackboxingPolicy

    Permalink
  161. object cloneOf

    Permalink

    Return a new data with the same data structure as the given parameter (including bit width)

  162. def cover(assertion: Bool): AssertStatement

    Permalink
  163. object crossClockBuffer extends SpinalTag

    Permalink
  164. object crossClockDomain extends SpinalTag

    Permalink
  165. object default

    Permalink

    default statement of a switch case

    default statement of a switch case

    See also

    switch Documentation

  166. object distributedLut extends MemTechnologyKind

    Permalink
  167. object doRead extends DuringWritePolicy

    Permalink
  168. object dontCare extends ReadUnderWritePolicy with DuringWritePolicy

    Permalink
  169. object dontRead extends DuringWritePolicy

    Permalink
  170. package fiber

    Permalink
  171. object getFixRound

    Permalink
  172. object getFixSym

    Permalink
  173. object globalCache

    Permalink
  174. object ifGen

    Permalink
  175. implicit lazy val implicitConversions: implicitConversions

    Permalink

    Scala implicit

  176. object in extends IODirection

    Permalink

    Set a data to input

  177. object inWithNull extends IODirection

    Permalink

    Set a data to in if the data is not null

  178. object inferred extends SpinalEnumEncoding

    Permalink

    Inferred encoding

  179. object inout extends IODirection

    Permalink

    Set a data to inout

  180. package internals

    Permalink
  181. object is

    Permalink

    is statement of a switch case

    is statement of a switch case

    See also

    switch Documentation

  182. object isPow2

    Permalink

    Check if a number is a power of 2

  183. object log2Up

    Permalink

    Give number of bit to encode a given number of states

  184. object native extends SpinalEnumEncoding

    Permalink

    Native encoding

  185. object noBackendCombMerge extends SpinalTag

    Permalink
  186. object noCombinatorialLoopCheck extends SpinalTag

    Permalink
  187. object noNumericType extends SpinalTag

    Permalink

    Transform all unsigned/signed into std_logic_vector

  188. object out extends IODirection

    Permalink

    Set a data to output

  189. object outWithNull extends IODirection

    Permalink

    Set a data to out if the data is not null

  190. def postTypeFactory[T <: Data](that: T): T

    Permalink
    Definition Classes
    TypeFactory
  191. implicit lazy val postfixOps: postfixOps

    Permalink
  192. object ramBlock extends MemTechnologyKind

    Permalink
  193. object randomBoot extends SpinalTag

    Permalink
  194. object readFirst extends ReadUnderWritePolicy

    Permalink
  195. implicit lazy val reflectiveCalls: reflectiveCalls

    Permalink
  196. object registerFile extends MemTechnologyKind

    Permalink
  197. def report(message: Seq[Any], severity: AssertNodeSeverity): AssertStatement

    Permalink
  198. def report(message: String, severity: AssertNodeSeverity): AssertStatement

    Permalink
  199. def report(message: Seq[Any]): AssertStatement

    Permalink
  200. def report(message: String): AssertStatement

    Permalink
  201. object roundUp

    Permalink

    Round up a BigInt

  202. object signalCache

    Permalink
  203. package sim

    Permalink

    Simulation package

  204. object switch

    Permalink

    case/switch statement

    case/switch statement

    Example:
    1. switch(x){
          is(value1){
              //execute when x === value1
          }
          is(value2){
              //execute when x === value2
          }
          default{
             //execute if none of precedent condition meet
          }
       }
    See also

    switch Documentation

  205. object tagAutoResize extends SpinalTag

    Permalink
  206. object tagTruncated extends SpinalTag

    Permalink
  207. object uLogic extends SpinalTag

    Permalink

    Create a Ulogic tag used by Blackbox in order to transform std_logic into std_ulogic

  208. object unsetRegIfNoAssignementTag extends SpinalTag

    Permalink
  209. object unusedTag extends SpinalTag

    Permalink
  210. object weakCloneOf

    Permalink

    Return a new data with the same data structure as the given parameter (except bit width)

  211. object when

    Permalink

    If statement

    If statement

    Example:
    1. when(cond1){
        myCnt := 0
      }elsewhen(cond2){
        myCnt := myCnt + 1
      }otherwise{
        myCnt := myCnt - 1
      }
    See also

    when Documentation

  212. object widthOf

    Permalink

    Return the number of bit of the given data

  213. object writeFirst extends ReadUnderWritePolicy

    Permalink

Deprecated Value Members

  1. object Sel

    Permalink

    Sel operation

    Sel operation

    Annotations
    @deprecated
    Deprecated

    (Since version ???) Use Select instead

  2. object cloneable

    Permalink
    Annotations
    @deprecated
    Deprecated

    (Since version ???) Use HardType instead

  3. def toSFix(sint: SInt): SFix

    Permalink
    Definition Classes
    SFixCast
    Annotations
    @deprecated
    Deprecated

    (Since version ???) Use xxx.toSFix instead

  4. def toUFix(uint: UInt): UFix

    Permalink
    Definition Classes
    UFixCast
    Annotations
    @deprecated
    Deprecated

    (Since version ???) Use xxx.toUFix instead

  5. object wrap

    Permalink
    Annotations
    @deprecated
    Deprecated

    (Since version ???) Use HardType instead

Inherited from BaseTypeCast

Inherited from UFixCast

Inherited from SFixCast

Inherited from BaseTypeFactory

Inherited from UFixFactory

Inherited from SFixFactory

Inherited from TypeFactory

Inherited from VecFactory

Inherited from SIntFactory

Inherited from UIntFactory

Inherited from BitsFactory

Inherited from BoolFactory

Inherited from AnyRef

Inherited from Any

Ungrouped