Package

chisel3

experimental

Permalink

package experimental

Package for experimental features, which may have their API changed, be removed, etc.

Because its contents won't necessarily have the same level of stability and support as non-experimental, you must explicitly import this package to use its contents.

Linear Supertypes
AnyRef, Any
Ordering
  1. Alphabetic
  2. By Inheritance
Inherited
  1. experimental
  2. AnyRef
  3. Any
  1. Hide All
  2. Show All
Visibility
  1. Public
  2. All

Type Members

  1. final class Analog extends Element

    Permalink

    Data type for representing bidirectional bitvectors of a given width

    Data type for representing bidirectional bitvectors of a given width

    Analog support is limited to allowing wiring up of Verilog BlackBoxes with bidirectional (inout) pins. There is currently no support for reading or writing of Analog types within Chisel code.

    Given that Analog is bidirectional, it is illegal to assign a direction to any Analog type. It is legal to "flip" the direction (since Analog can be a member of aggregate types) which has no effect.

    Analog types are generally connected using the bidirectional attach mechanism, but also support limited bulkconnect <>. Analog types are only allowed to be bulk connected *once* in a given module. This is to prevent any surprising consequences of last connect semantics.

    Note

    This API is experimental and subject to change

  2. abstract class BaseModule extends HasId

    Permalink

    Abstract base class for Modules, an instantiable organizational unit for RTL.

  3. class BundleLiteralException extends ChiselException

    Permalink
  4. trait ChiselAnnotation extends AnyRef

    Permalink

    Interface for Annotations in Chisel

    Interface for Annotations in Chisel

    Defines a conversion to a corresponding FIRRTL Annotation

  5. type ChiselEnum = EnumFactory

    Permalink
  6. implicit final class ChiselRange extends AnyVal

    Permalink
  7. type ClonePorts = internal.BaseModule.ClonePorts

    Permalink

    A record containing the results of CloneModuleAsRecord The apply method is retrieves the element with the supplied name.

  8. type Direction = ActualDirection

    Permalink
  9. case class DoubleParam(value: Double) extends Param with Product with Serializable

    Permalink
  10. abstract class EnumFactory extends AnyRef

    Permalink
  11. abstract class EnumType extends Element

    Permalink
  12. abstract class ExtModule extends BaseBlackBox

    Permalink

    Defines a black box, which is a module that can be referenced from within Chisel, but is not defined in the emitted Verilog.

    Defines a black box, which is a module that can be referenced from within Chisel, but is not defined in the emitted Verilog. Useful for connecting to RTL modules defined outside Chisel.

    A variant of BlackBox, this has a more consistent naming scheme in allowing multiple top-level IO and does not drop the top prefix.

    Example:
    1. Some design require a differential input clock to clock the all design. With the xilinx FPGA for example, a Verilog template named IBUFDS must be integrated to use differential input:

      IBUFDS #(.DIFF_TERM("TRUE"),
               .IOSTANDARD("DEFAULT")) ibufds (
       .IB(ibufds_IB),
       .I(ibufds_I),
       .O(ibufds_O)
      );

      To instantiate it, a BlackBox can be used like following:

      import chisel3._
      import chisel3.experimental._
      // Example with Xilinx differential buffer IBUFDS
      class IBUFDS extends ExtModule(Map("DIFF_TERM" -> "TRUE", // Verilog parameters
                                         "IOSTANDARD" -> "DEFAULT"
                           )) {
        val O = IO(Output(Clock()))
        val I = IO(Input(Clock()))
        val IB = IO(Input(Clock()))
      }
    Note

    The parameters API is experimental and may change

  13. sealed class FixedPoint extends Bits with Num[FixedPoint] with HasBinaryPoint

    Permalink

    A sealed class representing a fixed point number that has a bit width and a binary point The width and binary point may be inferred.

    A sealed class representing a fixed point number that has a bit width and a binary point The width and binary point may be inferred.

    IMPORTANT: The API provided here is experimental and may change in the future.

  14. trait HasBinaryPoint extends AnyRef

    Permalink

    Chisel types that have binary points support retrieving literal values as Double or BigDecimal

  15. case class IntParam(value: BigInt) extends Param with Product with Serializable

    Permalink
  16. sealed class Interval extends Bits with Num[Interval] with HasBinaryPoint

    Permalink

    A sealed class representing a fixed point number that has a range, an additional parameter that can determine a minimum and maximum supported value.

    A sealed class representing a fixed point number that has a range, an additional parameter that can determine a minimum and maximum supported value. The range can be used to reduce the required widths particularly in primitive operations with other Intervals, the canonical example being

    val one = 1.I
    val six = Seq.fill(6)(one).reduce(_ + _)

    A UInt computed in this way would require a Width binary point The width and binary point may be inferred.

    IMPORTANT: The API provided here is experimental and may change in the future.

  17. trait NoChiselNamePrefix extends AnyRef

    Permalink

    Do not name instances of this type in chiselName

    Do not name instances of this type in chiselName

    By default, chiselName will include val names of instances of annotated classes as a prefix in final naming. Mixing in this trait to a class, object, or anonymous class instances will exclude the val name from chiselName naming.

    Example:
    1. import chisel3._
      import chisel3.experimental.{chiselName, NoChiselNamePrefix}
      // Note that this is not a Module
      @chiselName
      class Counter(w: Int) {
        val myReg = RegInit(0.U(w.W))
        myReg := myReg + 1.U
      }
      @chiselName
      class MyModule extends Module {
        val io = IO(new Bundle {
          val out = Output(UInt(8.W))
        })
        // Name of myReg will be "counter0_myReg"
        val counter0 = new Counter(8)
        // Name of myReg will be "myReg"
        val counter1 = new Counter(8) with NoChiselNamePrefix
        io.out := counter0.myReg + counter1.myReg
      }
  18. sealed abstract class Param extends AnyRef

    Permalink

    Parameters for BlackBoxes

  19. sealed trait PrivateType extends AnyRef

    Permalink

    Use PrivateObject to force users to specify width and binaryPoint by name

  20. case class RawParam(value: String) extends Param with Product with Serializable

    Permalink

    Unquoted String

  21. trait RunFirrtlTransform extends ChiselAnnotation

    Permalink

    Mixin for ChiselAnnotation that instantiates an associated FIRRTL Transform when this Annotation is present during a run of Driver.execute.

    Mixin for ChiselAnnotation that instantiates an associated FIRRTL Transform when this Annotation is present during a run of Driver.execute. Automatic Transform instantiation is *not* supported when the Circuit and Annotations are serialized before invoking FIRRTL.

  22. case class StringParam(value: String) extends Param with Product with Serializable

    Permalink
  23. macro class chiselName extends internal.naming.chiselName

    Permalink

    Experimental macro for naming Chisel hardware values

    Experimental macro for naming Chisel hardware values

    By default, Chisel uses reflection for naming which only works for public fields of Bundle and Module classes. Applying this macro annotation to a class or object enables Chisel to name any hardware values within the annotated class or object.

    Annotations
    @compileTimeOnly( ... )
    Example:
    1. import chisel3._
      import chisel3.experimental.chiselName
      @chiselName
      class MyModule extends Module {
        val io = IO(new Bundle {
          val in = Input(UInt(8.W))
          val out = Output(UInt(8.W))
        })
        def createReg(): Unit = {
          // @chiselName allows Chisel to name this Reg
          val myReg = RegInit(io.in)
          io.out := myReg
        }
        createReg()
      }
  24. macro class dump extends internal.naming.dump

    Permalink
    Annotations
    @compileTimeOnly( ... )
  25. macro class treedump extends internal.naming.treedump

    Permalink
    Annotations
    @compileTimeOnly( ... )

Value Members

  1. object Analog

    Permalink

    Object that provides factory methods for Analog objects

    Object that provides factory methods for Analog objects

    Note

    This API is experimental and subject to change

  2. object BundleLiterals

    Permalink
  3. object CloneModuleAsRecord

    Permalink
  4. object DataMirror

    Permalink

    Experimental hardware construction reflection API

  5. val Direction: ActualDirection.type

    Permalink
  6. object EnumAnnotations

    Permalink
  7. object FixedPoint extends NumObject

    Permalink

    Factory and convenience methods for the FixedPoint class IMPORTANT: The API provided here is experimental and may change in the future.

  8. object IO

    Permalink
  9. object Interval extends NumObject

    Permalink

    Factory and convenience methods for the Interval class IMPORTANT: The API provided here is experimental and may change in the future.

  10. object annotate

    Permalink
  11. object attach

    Permalink
  12. object doNotDedup

    Permalink

    Marks that a module to be ignored in Dedup Transform in Firrtl pass

    Marks that a module to be ignored in Dedup Transform in Firrtl pass

    Example:
    1.  def fullAdder(a: UInt, b: UInt, myName: String): UInt = {
         val m = Module(new Module {
           val io = IO(new Bundle {
             val a = Input(UInt(32.W))
             val b = Input(UInt(32.W))
             val out = Output(UInt(32.W))
           })
           override def desiredName = "adder_" + myNname
           io.out := io.a + io.b
         })
         doNotDedup(m)
         m.io.a := a
         m.io.b := b
         m.io.out
       }
      class AdderTester extends Module
       with ConstantPropagationTest {
       val io = IO(new Bundle {
         val a = Input(UInt(32.W))
         val b = Input(UInt(32.W))
         val out = Output(Vec(2, UInt(32.W)))
       })
       io.out(0) := fullAdder(io.a, io.b, "mod1")
       io.out(1) := fullAdder(io.a, io.b, "mod2")
      }
    Note

    Calling this on Data creates an annotation that Chisel emits to a separate annotations file. This file must be passed to FIRRTL independently of the .fir file. The execute methods in chisel3.Driver will pass the annotations to FIRRTL automatically.

  13. implicit def fromBigIntToIntParam(x: BigInt): IntParam

    Permalink
  14. implicit def fromDoubleToDoubleParam(x: Double): DoubleParam

    Permalink
  15. implicit def fromIntToIntParam(x: Int): IntParam

    Permalink
  16. implicit def fromLongToIntParam(x: Long): IntParam

    Permalink
  17. implicit def fromStringToStringParam(x: String): StringParam

    Permalink
  18. val noPrefix: internal.noPrefix.type

    Permalink
  19. val prefix: internal.prefix.type

    Permalink
  20. val requireIsChiselType: internal.requireIsChiselType.type

    Permalink
  21. val requireIsHardware: internal.requireIsHardware.type

    Permalink
  22. package verification

    Permalink

Deprecated Value Members

  1. val withClock: chisel3.withClock.type

    Permalink
    Annotations
    @deprecated
    Deprecated

    (Since version 3.2) Use the version in chisel3._

  2. val withClockAndReset: chisel3.withClockAndReset.type

    Permalink
    Annotations
    @deprecated
    Deprecated

    (Since version 3.2) Use the version in chisel3._

  3. val withReset: chisel3.withReset.type

    Permalink
    Annotations
    @deprecated
    Deprecated

    (Since version 3.2) Use the version in chisel3._

Inherited from AnyRef

Inherited from Any

Ungrouped