Package

chisel3

internal

Permalink

package internal

Visibility
  1. Public
  2. All

Type Members

  1. sealed trait Binding extends AnyRef

    Permalink
  2. case class BundleLitBinding(litMap: Map[Data, LitArg]) extends LitBinding with Product with Serializable

    Permalink
  3. case class ChildBinding(parent: Data) extends Binding with Product with Serializable

    Permalink
  4. class ChiselException extends Exception

    Permalink
  5. sealed trait ConditionalDeclarable extends TopBinding

    Permalink
  6. sealed trait ConstrainedBinding extends TopBinding

    Permalink
  7. case class DontCareBinding() extends UnconstrainedBinding with Product with Serializable

    Permalink
  8. case class ElementLitBinding(litArg: LitArg) extends LitBinding with Product with Serializable

    Permalink
  9. trait InstanceId extends AnyRef

    Permalink

    Public API to access Node/Signal names.

    Public API to access Node/Signal names. currently, the node's name, the full path name, and references to its parent Module and component. These are only valid once the design has been elaborated, and should not be used during its construction.

  10. abstract class LegacyModule extends MultiIOModule

    Permalink

    Legacy Module class that restricts IOs to just io, clock, and reset, and provides a constructor for threading through explicit clock and reset.

    Legacy Module class that restricts IOs to just io, clock, and reset, and provides a constructor for threading through explicit clock and reset.

    While this class isn't planned to be removed anytime soon (there are benefits to restricting IO), the clock and reset constructors will be phased out. Recommendation is to wrap the module in a withClock/withReset/withClockAndReset block, or directly hook up clock or reset IO pins.

  11. sealed trait LitBinding extends UnconstrainedBinding with ReadOnlyBinding

    Permalink
  12. case class MemoryPortBinding(enclosure: RawModule, visibility: Option[WhenContext]) extends ConstrainedBinding with ConditionalDeclarable with Product with Serializable

    Permalink
  13. case class OpBinding(enclosure: RawModule, visibility: Option[WhenContext]) extends ConstrainedBinding with ReadOnlyBinding with ConditionalDeclarable with Product with Serializable

    Permalink
  14. case class PortBinding(enclosure: BaseModule) extends ConstrainedBinding with Product with Serializable

    Permalink
  15. sealed trait ReadOnlyBinding extends TopBinding

    Permalink
  16. case class RegBinding(enclosure: RawModule, visibility: Option[WhenContext]) extends ConstrainedBinding with ConditionalDeclarable with Product with Serializable

    Permalink
  17. case class SampleElementBinding[T <: Data](parent: Vec[T]) extends Binding with Product with Serializable

    Permalink

    Special binding for Vec.sample_element

  18. sealed trait TopBinding extends Binding

    Permalink
  19. sealed trait UnconstrainedBinding extends TopBinding

    Permalink
  20. case class WireBinding(enclosure: RawModule, visibility: Option[WhenContext]) extends ConstrainedBinding with ConditionalDeclarable with Product with Serializable

    Permalink

Value Members

  1. object BaseModule

    Permalink
  2. object DynamicNamingStack

    Permalink

    Allows public access to the naming stack in Builder / DynamicContext, and handles invocations outside a Builder context.

    Allows public access to the naming stack in Builder / DynamicContext, and handles invocations outside a Builder context. Necessary because naming macros expand in user code and don't have access into private[chisel3] objects.

  3. package firrtl

    Permalink
  4. package naming

    Permalink
  5. object requireIsChiselType

    Permalink

    Requires that a node is a chisel type (not hardware, "unbound")

  6. object requireIsHardware

    Permalink

    Requires that a node is hardware ("bound")

  7. package sourceinfo

    Permalink

Ungrouped