Packages

sealed class Vec[T <: Data] extends Aggregate with VecLike[T]

A vector (array) of Data elements. Provides hardware versions of various collection transformation functions found in software array implementations.

Careful consideration should be given over the use of Vec vs Seq or some other Scala collection. In general Vec only needs to be used when there is a need to express the hardware collection in a Reg or IO Bundle or when access to elements of the array is indexed via a hardware signal.

Example of indexing into a Vec using a hardware address and where the Vec is defined in an IO Bundle

val io = IO(new Bundle {
  val in = Input(Vec(20, UInt(16.W)))
  val addr = Input(UInt(5.W))
  val out = Output(UInt(16.W))
})
io.out := io.in(io.addr)
T

type of elements

Note

  • when multiple conflicting assignments are performed on a Vec element, the last one takes effect (unlike Mem, where the result is undefined)
  • Vecs, unlike classes in Scala's collection library, are propagated intact to FIRRTL as a vector type, which may make debugging easier
Linear Supertypes
Ordering
  1. Alphabetic
  2. By Inheritance
Inherited
  1. Vec
  2. VecLike
  3. IndexedSeq
  4. IndexedSeqLike
  5. Seq
  6. SeqLike
  7. GenSeq
  8. GenSeqLike
  9. Iterable
  10. IterableLike
  11. Equals
  12. GenIterable
  13. GenIterableLike
  14. Traversable
  15. GenTraversable
  16. GenericTraversableTemplate
  17. TraversableLike
  18. GenTraversableLike
  19. Parallelizable
  20. TraversableOnce
  21. GenTraversableOnce
  22. FilterMonadic
  23. HasNewBuilder
  24. PartialFunction
  25. Function1
  26. Aggregate
  27. Data
  28. SourceInfoDoc
  29. NamedComponent
  30. HasId
  31. InstanceId
  32. AnyRef
  33. Any
  1. Hide All
  2. Show All
Visibility
  1. Public
  2. All

Type Members

  1. class Elements extends AbstractIterator[A] with BufferedIterator[A] with Serializable
    Attributes
    protected
    Definition Classes
    IndexedSeqLike
    Annotations
    @SerialVersionUID()
  2. type Self = IndexedSeq[T]
    Attributes
    protected[this]
    Definition Classes
    TraversableLike
  3. class WithFilter extends FilterMonadic[A, Repr]
    Definition Classes
    TraversableLike

Value Members

  1. final def !=(arg0: Any): Boolean
    Definition Classes
    AnyRef → Any
  2. final def ##(): Int
    Definition Classes
    AnyRef → Any
  3. def ++[B >: T, That](that: GenTraversableOnce[B])(implicit bf: CanBuildFrom[IndexedSeq[T], B, That]): That
    Definition Classes
    TraversableLike → GenTraversableLike
  4. def ++:[B >: T, That](that: Traversable[B])(implicit bf: CanBuildFrom[IndexedSeq[T], B, That]): That
    Definition Classes
    TraversableLike
  5. def ++:[B >: T, That](that: TraversableOnce[B])(implicit bf: CanBuildFrom[IndexedSeq[T], B, That]): That
    Definition Classes
    TraversableLike
  6. def +:[B >: T, That](elem: B)(implicit bf: CanBuildFrom[IndexedSeq[T], B, That]): That
    Definition Classes
    SeqLike → GenSeqLike
  7. def :+[B >: T, That](elem: B)(implicit bf: CanBuildFrom[IndexedSeq[T], B, That]): That
    Definition Classes
    SeqLike → GenSeqLike
  8. def :=(that: Vec[T])(implicit sourceInfo: SourceInfo, moduleCompileOptions: CompileOptions): Unit
  9. def :=(that: Seq[T])(implicit sourceInfo: SourceInfo, moduleCompileOptions: CompileOptions): Unit

    Strong bulk connect, assigning elements in this Vec from elements in a Seq.

    Strong bulk connect, assigning elements in this Vec from elements in a Seq.

    Note

    the length of this Vec must match the length of the input Seq

  10. final def :=(that: Data)(implicit sourceInfo: SourceInfo, connectionCompileOptions: CompileOptions): Unit

    Connect this data to that data mono-directionally and element-wise.

    Connect this data to that data mono-directionally and element-wise.

    This uses the MonoConnect algorithm.

    that

    the data to connect to

    Definition Classes
    Data
  11. def <>(that: Vec[T])(implicit sourceInfo: SourceInfo, moduleCompileOptions: CompileOptions): Unit
  12. def <>(that: Seq[T])(implicit sourceInfo: SourceInfo, moduleCompileOptions: CompileOptions): Unit

    Strong bulk connect, assigning elements in this Vec from elements in a Seq.

    Strong bulk connect, assigning elements in this Vec from elements in a Seq.

    Note

    the length of this Vec must match the length of the input Seq

  13. final def <>(that: Data)(implicit sourceInfo: SourceInfo, connectionCompileOptions: CompileOptions): Unit

    Connect this data to that data bi-directionally and element-wise.

    Connect this data to that data bi-directionally and element-wise.

    This uses the BiConnect algorithm.

    that

    the data to connect to

    Definition Classes
    Data
  14. final def ==(arg0: Any): Boolean
    Definition Classes
    AnyRef → Any
  15. def addString(b: StringBuilder): StringBuilder
    Definition Classes
    TraversableOnce
  16. def addString(b: StringBuilder, sep: String): StringBuilder
    Definition Classes
    TraversableOnce
  17. def addString(b: StringBuilder, start: String, sep: String, end: String): StringBuilder
    Definition Classes
    TraversableOnce
  18. def aggregate[B](z: ⇒ B)(seqop: (B, T) ⇒ B, combop: (B, B) ⇒ B): B
    Definition Classes
    TraversableOnce → GenTraversableOnce
  19. def andThen[C](k: (T) ⇒ C): PartialFunction[Int, C]
    Definition Classes
    PartialFunction → Function1
  20. def apply(idx: Int): T

    Creates a statically indexed read or write accessor into the array.

    Creates a statically indexed read or write accessor into the array.

    Definition Classes
    Vec → SeqLike → GenSeqLike → Function1
  21. macro def apply(p: UInt): T

    Creates a dynamically indexed read or write accessor into the array.

    Creates a dynamically indexed read or write accessor into the array.

    Definition Classes
    VecVecLike
  22. def applyOrElse[A1 <: Int, B1 >: T](x: A1, default: (A1) ⇒ B1): B1
    Definition Classes
    PartialFunction
  23. final def asInstanceOf[T0]: T0
    Definition Classes
    Any
  24. macro def asTypeOf[T <: Data](that: T): T

    Does a reinterpret cast of the bits in this node into the format that provides.

    Does a reinterpret cast of the bits in this node into the format that provides. Returns a new Wire of that type. Does not modify existing nodes.

    x.asTypeOf(that) performs the inverse operation of x := that.toBits.

    Definition Classes
    Data
    Note

    bit widths are NOT checked, may pad or drop bits from input

    ,

    that should have known widths

  25. final macro def asUInt(): UInt

    Reinterpret cast to UInt.

    Reinterpret cast to UInt.

    Definition Classes
    Data
    Note

    value not guaranteed to be preserved: for example, a SInt of width 3 and value -1 (0b111) would become an UInt with value 7

    ,

    Aggregates are recursively packed with the first element appearing in the least-significant bits of the result.

  26. def binding: Option[Binding]
    Attributes
    protected[chisel3]
    Definition Classes
    Data
  27. def bindingToString: String
    Attributes
    protected
    Definition Classes
    Data
  28. def binding_=(target: Binding): Unit
    Attributes
    protected
    Definition Classes
    Data
  29. def canEqual(that: Any): Boolean
    Definition Classes
    IterableLike → Equals
  30. def circuitName: String
    Attributes
    protected
    Definition Classes
    HasId
  31. def clone(): AnyRef
    Attributes
    protected[lang]
    Definition Classes
    AnyRef
    Annotations
    @throws( ... ) @native()
  32. def cloneType: Vec.this.type

    Internal API; Chisel users should look at chisel3.chiselTypeOf(...).

    Internal API; Chisel users should look at chisel3.chiselTypeOf(...).

    cloneType must be defined for any Chisel object extending Data. It is responsible for constructing a basic copy of the object being cloned.

    returns

    a copy of the object.

    Definition Classes
    VecData
  33. def collect[B, That](pf: PartialFunction[T, B])(implicit bf: CanBuildFrom[IndexedSeq[T], B, That]): That
    Definition Classes
    TraversableLike → GenTraversableLike
  34. def collectFirst[B](pf: PartialFunction[T, B]): Option[B]
    Definition Classes
    TraversableOnce
  35. def combinations(n: Int): Iterator[IndexedSeq[T]]
    Definition Classes
    SeqLike
  36. def companion: GenericCompanion[IndexedSeq]
    Definition Classes
    IndexedSeq → Seq → GenSeq → Iterable → GenIterable → Traversable → GenTraversable → GenericTraversableTemplate
  37. def compose[A](g: (A) ⇒ Int): (A) ⇒ T
    Definition Classes
    Function1
    Annotations
    @unspecialized()
  38. macro def contains(x: T)(implicit ev: <:<[T, UInt]): Bool

    Outputs true if the vector contains at least one element equal to x (using the === operator).

    Outputs true if the vector contains at least one element equal to x (using the === operator).

    Definition Classes
    VecLike
  39. def contains[A1 >: T](elem: A1): Boolean
    Definition Classes
    SeqLike
  40. def containsSlice[B](that: GenSeq[B]): Boolean
    Definition Classes
    SeqLike
  41. def copyToArray[B >: T](xs: Array[B], start: Int, len: Int): Unit
    Definition Classes
    IterableLike → TraversableLike → TraversableOnce → GenTraversableOnce
  42. def copyToArray[B >: T](xs: Array[B]): Unit
    Definition Classes
    TraversableOnce → GenTraversableOnce
  43. def copyToArray[B >: T](xs: Array[B], start: Int): Unit
    Definition Classes
    TraversableOnce → GenTraversableOnce
  44. def copyToBuffer[B >: T](dest: Buffer[B]): Unit
    Definition Classes
    TraversableOnce
  45. def corresponds[B](that: GenSeq[B])(p: (T, B) ⇒ Boolean): Boolean
    Definition Classes
    SeqLike → GenSeqLike
  46. macro def count(p: (T) ⇒ Bool): UInt

    Outputs the number of elements for which p is true.

    Outputs the number of elements for which p is true.

    Definition Classes
    VecLike
  47. def count(p: (T) ⇒ Boolean): Int
    Definition Classes
    TraversableOnce → GenTraversableOnce
  48. def diff[B >: T](that: GenSeq[B]): IndexedSeq[T]
    Definition Classes
    SeqLike → GenSeqLike
  49. def distinct: IndexedSeq[T]
    Definition Classes
    SeqLike → GenSeqLike
  50. def do_apply(p: UInt)(implicit compileOptions: CompileOptions): T

    Definition Classes
    VecVecLike
  51. def do_asTypeOf[T <: Data](that: T)(implicit sourceInfo: SourceInfo, compileOptions: CompileOptions): T

    Definition Classes
    Data
  52. def do_asUInt(implicit sourceInfo: SourceInfo, compileOptions: CompileOptions): UInt

    Definition Classes
    AggregateData
  53. def do_contains(x: T)(implicit sourceInfo: SourceInfo, ev: <:<[T, UInt], compileOptions: CompileOptions): Bool

    Definition Classes
    VecLike
  54. def do_count(p: (T) ⇒ Bool)(implicit sourceInfo: SourceInfo, compileOptions: CompileOptions): UInt

    Definition Classes
    VecLike
  55. def do_exists(p: (T) ⇒ Bool)(implicit sourceInfo: SourceInfo, compileOptions: CompileOptions): Bool

    Definition Classes
    VecLike
  56. def do_forall(p: (T) ⇒ Bool)(implicit sourceInfo: SourceInfo, compileOptions: CompileOptions): Bool

    Definition Classes
    VecLike
  57. def do_indexWhere(p: (T) ⇒ Bool)(implicit sourceInfo: SourceInfo, compileOptions: CompileOptions): UInt

    Definition Classes
    VecLike
  58. def do_lastIndexWhere(p: (T) ⇒ Bool)(implicit sourceInfo: SourceInfo, compileOptions: CompileOptions): UInt

    Definition Classes
    VecLike
  59. def do_onlyIndexWhere(p: (T) ⇒ Bool)(implicit sourceInfo: SourceInfo, compileOptions: CompileOptions): UInt

    Definition Classes
    VecLike
  60. def do_reduceTree(redOp: (T, T) ⇒ T, layerOp: (T) ⇒ T = (x: T) => x)(implicit sourceInfo: SourceInfo, compileOptions: CompileOptions): T
  61. def drop(n: Int): IndexedSeq[T]
    Definition Classes
    IterableLike → TraversableLike → GenTraversableLike
  62. def dropRight(n: Int): IndexedSeq[T]
    Definition Classes
    IterableLike
  63. def dropWhile(p: (T) ⇒ Boolean): IndexedSeq[T]
    Definition Classes
    TraversableLike → GenTraversableLike
  64. def endsWith[B](that: GenSeq[B]): Boolean
    Definition Classes
    SeqLike → GenSeqLike
  65. final def eq(arg0: AnyRef): Boolean
    Definition Classes
    AnyRef
  66. def equals(that: Any): Boolean
    Definition Classes
    VecLike → HasId → GenSeqLike → Equals → AnyRef → Any
  67. macro def exists(p: (T) ⇒ Bool): Bool

    Outputs true if p outputs true for at least one element.

    Outputs true if p outputs true for at least one element.

    Definition Classes
    VecLike
  68. def exists(p: (T) ⇒ Boolean): Boolean
    Definition Classes
    IterableLike → TraversableLike → TraversableOnce → GenTraversableOnce
  69. def filter(p: (T) ⇒ Boolean): IndexedSeq[T]
    Definition Classes
    TraversableLike → GenTraversableLike
  70. def filterNot(p: (T) ⇒ Boolean): IndexedSeq[T]
    Definition Classes
    TraversableLike → GenTraversableLike
  71. def finalize(): Unit
    Attributes
    protected[lang]
    Definition Classes
    AnyRef
    Annotations
    @throws( classOf[java.lang.Throwable] )
  72. def find(p: (T) ⇒ Boolean): Option[T]
    Definition Classes
    IterableLike → TraversableLike → TraversableOnce → GenTraversableOnce
  73. def flatMap[B, That](f: (T) ⇒ GenTraversableOnce[B])(implicit bf: CanBuildFrom[IndexedSeq[T], B, That]): That
    Definition Classes
    TraversableLike → GenTraversableLike → FilterMonadic
  74. def flatten[B](implicit asTraversable: (T) ⇒ GenTraversableOnce[B]): IndexedSeq[B]
    Definition Classes
    GenericTraversableTemplate
  75. def fold[A1 >: T](z: A1)(op: (A1, A1) ⇒ A1): A1
    Definition Classes
    TraversableOnce → GenTraversableOnce
  76. def foldLeft[B](z: B)(op: (B, T) ⇒ B): B
    Definition Classes
    TraversableOnce → GenTraversableOnce
  77. def foldRight[B](z: B)(op: (T, B) ⇒ B): B
    Definition Classes
    IterableLike → TraversableOnce → GenTraversableOnce
  78. macro def forall(p: (T) ⇒ Bool): Bool

    Outputs true if p outputs true for every element.

    Outputs true if p outputs true for every element.

    Definition Classes
    VecLike
  79. def forall(p: (T) ⇒ Boolean): Boolean
    Definition Classes
    IterableLike → TraversableLike → TraversableOnce → GenTraversableOnce
  80. def foreach[U](f: (T) ⇒ U): Unit
    Definition Classes
    IterableLike → TraversableLike → GenTraversableLike → TraversableOnce → GenTraversableOnce → FilterMonadic
  81. def genericBuilder[B]: Builder[B, IndexedSeq[B]]
    Definition Classes
    GenericTraversableTemplate
  82. final def getClass(): Class[_]
    Definition Classes
    AnyRef → Any
    Annotations
    @native()
  83. def getElements: Seq[Data]

    Returns a Seq of the immediate contents of this Aggregate, in order.

    Returns a Seq of the immediate contents of this Aggregate, in order.

    Definition Classes
    VecAggregate
  84. final def getWidth: Int

    Returns the width, in bits, if currently known.

    Returns the width, in bits, if currently known.

    Definition Classes
    Data
  85. def groupBy[K](f: (T) ⇒ K): Map[K, IndexedSeq[T]]
    Definition Classes
    TraversableLike → GenTraversableLike
  86. def grouped(size: Int): Iterator[IndexedSeq[T]]
    Definition Classes
    IterableLike
  87. def hasDefiniteSize: Boolean
    Definition Classes
    TraversableLike → TraversableOnce → GenTraversableOnce
  88. def hashCode(): Int
    Definition Classes
    VecLike → HasId → IndexedSeqLike → GenSeqLike → AnyRef → Any
  89. def head: T
    Definition Classes
    IterableLike → TraversableLike → GenTraversableLike
  90. def headOption: Option[T]
    Definition Classes
    TraversableLike → GenTraversableLike
  91. def indexOf[B >: T](elem: B, from: Int): Int
    Definition Classes
    GenSeqLike
  92. def indexOf[B >: T](elem: B): Int
    Definition Classes
    GenSeqLike
  93. def indexOfSlice[B >: T](that: GenSeq[B], from: Int): Int
    Definition Classes
    SeqLike
  94. def indexOfSlice[B >: T](that: GenSeq[B]): Int
    Definition Classes
    SeqLike
  95. macro def indexWhere(p: (T) ⇒ Bool): UInt

    Outputs the index of the first element for which p outputs true.

    Outputs the index of the first element for which p outputs true.

    Definition Classes
    VecLike
  96. def indexWhere(p: (T) ⇒ Boolean, from: Int): Int
    Definition Classes
    SeqLike → GenSeqLike
  97. def indexWhere(p: (T) ⇒ Boolean): Int
    Definition Classes
    GenSeqLike
  98. def indices: Range
    Definition Classes
    SeqLike
  99. def init: IndexedSeq[T]
    Definition Classes
    TraversableLike → GenTraversableLike
  100. def inits: Iterator[IndexedSeq[T]]
    Definition Classes
    TraversableLike
  101. def instanceName: String
    Definition Classes
    HasId → InstanceId
  102. def intersect[B >: T](that: GenSeq[B]): IndexedSeq[T]
    Definition Classes
    SeqLike → GenSeqLike
  103. def isDefinedAt(idx: Int): Boolean
    Definition Classes
    GenSeqLike
  104. def isEmpty: Boolean
    Definition Classes
    SeqLike → IterableLike → TraversableLike → TraversableOnce → GenTraversableOnce
  105. final def isInstanceOf[T0]: Boolean
    Definition Classes
    Any
  106. def isLit(): Boolean
    Definition Classes
    Data
  107. final def isTraversableAgain: Boolean
    Definition Classes
    TraversableLike → GenTraversableLike → GenTraversableOnce
  108. final def isWidthKnown: Boolean

    Returns whether the width is currently known.

    Returns whether the width is currently known.

    Definition Classes
    Data
  109. def iterator: Iterator[T]
    Definition Classes
    IndexedSeqLike → IterableLike → GenIterableLike
  110. def last: T
    Definition Classes
    TraversableLike → GenTraversableLike
  111. def lastIndexOf[B >: T](elem: B, end: Int): Int
    Definition Classes
    GenSeqLike
  112. def lastIndexOf[B >: T](elem: B): Int
    Definition Classes
    GenSeqLike
  113. def lastIndexOfSlice[B >: T](that: GenSeq[B], end: Int): Int
    Definition Classes
    SeqLike
  114. def lastIndexOfSlice[B >: T](that: GenSeq[B]): Int
    Definition Classes
    SeqLike
  115. macro def lastIndexWhere(p: (T) ⇒ Bool): UInt

    Outputs the index of the last element for which p outputs true.

    Outputs the index of the last element for which p outputs true.

    Definition Classes
    VecLike
  116. def lastIndexWhere(p: (T) ⇒ Boolean, end: Int): Int
    Definition Classes
    SeqLike → GenSeqLike
  117. def lastIndexWhere(p: (T) ⇒ Boolean): Int
    Definition Classes
    GenSeqLike
  118. def lastOption: Option[T]
    Definition Classes
    TraversableLike → GenTraversableLike
  119. val length: Int
    Definition Classes
    Vec → SeqLike → GenSeqLike
  120. def lengthCompare(len: Int): Int
    Definition Classes
    SeqLike
  121. def lift: (Int) ⇒ Option[T]
    Definition Classes
    PartialFunction
  122. def litOption(): Option[BigInt]

    If this is a literal that is representable as bits, returns the value as a BigInt.

    If this is a literal that is representable as bits, returns the value as a BigInt. If not a literal, or not representable as bits (for example, is or contains Analog), returns None.

    Definition Classes
    AggregateData
  123. def litValue(): BigInt

    Returns the literal value if this is a literal that is representable as bits, otherwise crashes.

    Returns the literal value if this is a literal that is representable as bits, otherwise crashes.

    Definition Classes
    Data
  124. def map[B, That](f: (T) ⇒ B)(implicit bf: CanBuildFrom[IndexedSeq[T], B, That]): That
    Definition Classes
    TraversableLike → GenTraversableLike → FilterMonadic
  125. def max[B >: T](implicit cmp: Ordering[B]): T
    Definition Classes
    TraversableOnce → GenTraversableOnce
  126. def maxBy[B](f: (T) ⇒ B)(implicit cmp: Ordering[B]): T
    Definition Classes
    TraversableOnce → GenTraversableOnce
  127. def min[B >: T](implicit cmp: Ordering[B]): T
    Definition Classes
    TraversableOnce → GenTraversableOnce
  128. def minBy[B](f: (T) ⇒ B)(implicit cmp: Ordering[B]): T
    Definition Classes
    TraversableOnce → GenTraversableOnce
  129. def mkString: String
    Definition Classes
    TraversableOnce → GenTraversableOnce
  130. def mkString(sep: String): String
    Definition Classes
    TraversableOnce → GenTraversableOnce
  131. def mkString(start: String, sep: String, end: String): String
    Definition Classes
    TraversableOnce → GenTraversableOnce
  132. final def ne(arg0: AnyRef): Boolean
    Definition Classes
    AnyRef
  133. def newBuilder: Builder[T, IndexedSeq[T]]
    Attributes
    protected[this]
    Definition Classes
    GenericTraversableTemplate → HasNewBuilder
  134. def nonEmpty: Boolean
    Definition Classes
    TraversableOnce → GenTraversableOnce
  135. final def notify(): Unit
    Definition Classes
    AnyRef
    Annotations
    @native()
  136. final def notifyAll(): Unit
    Definition Classes
    AnyRef
    Annotations
    @native()
  137. macro def onlyIndexWhere(p: (T) ⇒ Bool): UInt

    Outputs the index of the element for which p outputs true, assuming that the there is exactly one such element.

    Outputs the index of the element for which p outputs true, assuming that the there is exactly one such element.

    The implementation may be more efficient than a priority mux, but incorrect results are possible if there is not exactly one true element.

    Definition Classes
    VecLike
    Note

    the assumption that there is only one element for which p outputs true is NOT checked (useful in cases where the condition doesn't always hold, but the results are not used in those cases)

  138. def orElse[A1 <: Int, B1 >: T](that: PartialFunction[A1, B1]): PartialFunction[A1, B1]
    Definition Classes
    PartialFunction
  139. def padTo[B >: T, That](len: Int, elem: B)(implicit bf: CanBuildFrom[IndexedSeq[T], B, That]): That
    Definition Classes
    SeqLike → GenSeqLike
  140. def par: ParSeq[T]
    Definition Classes
    Parallelizable
  141. def parCombiner: Combiner[T, ParSeq[T]]
    Attributes
    protected[this]
    Definition Classes
    SeqLike → TraversableLike → Parallelizable
  142. def parentModName: String
    Definition Classes
    HasId → InstanceId
  143. def parentPathName: String
    Definition Classes
    HasId → InstanceId
  144. def partition(p: (T) ⇒ Boolean): (IndexedSeq[T], IndexedSeq[T])
    Definition Classes
    TraversableLike → GenTraversableLike
  145. def patch[B >: T, That](from: Int, patch: GenSeq[B], replaced: Int)(implicit bf: CanBuildFrom[IndexedSeq[T], B, That]): That
    Definition Classes
    SeqLike → GenSeqLike
  146. def pathName: String
    Definition Classes
    HasId → InstanceId
  147. def permutations: Iterator[IndexedSeq[T]]
    Definition Classes
    SeqLike
  148. def prefixLength(p: (T) ⇒ Boolean): Int
    Definition Classes
    GenSeqLike
  149. def product[B >: T](implicit num: Numeric[B]): B
    Definition Classes
    TraversableOnce → GenTraversableOnce
  150. def reduce[A1 >: T](op: (A1, A1) ⇒ A1): A1
    Definition Classes
    TraversableOnce → GenTraversableOnce
  151. def reduceLeft[B >: T](op: (B, T) ⇒ B): B
    Definition Classes
    TraversableOnce
  152. def reduceLeftOption[B >: T](op: (B, T) ⇒ B): Option[B]
    Definition Classes
    TraversableOnce → GenTraversableOnce
  153. def reduceOption[A1 >: T](op: (A1, A1) ⇒ A1): Option[A1]
    Definition Classes
    TraversableOnce → GenTraversableOnce
  154. def reduceRight[B >: T](op: (T, B) ⇒ B): B
    Definition Classes
    IterableLike → TraversableOnce → GenTraversableOnce
  155. def reduceRightOption[B >: T](op: (T, B) ⇒ B): Option[B]
    Definition Classes
    TraversableOnce → GenTraversableOnce
  156. macro def reduceTree(redOp: (T, T) ⇒ T, layerOp: (T) ⇒ T): T

    A reduce operation in a tree like structure instead of sequentially

    A reduce operation in a tree like structure instead of sequentially

    Example:
    1. A pipelined adder tree

      val sumOut = inputNums.reduceTree(
        (a: T, b: T) => RegNext(a + b),
        (a: T) => RegNext(a)
      )
  157. macro def reduceTree(redOp: (T, T) ⇒ T): T

    A reduce operation in a tree like structure instead of sequentially

    A reduce operation in a tree like structure instead of sequentially

    Example:
    1. An adder tree

      val sumOut = inputNums.reduceTree((a: T, b: T) => (a + b))
  158. def repr: IndexedSeq[T]
    Definition Classes
    TraversableLike → GenTraversableLike
  159. def reverse: IndexedSeq[T]
    Definition Classes
    SeqLike → GenSeqLike
  160. def reverseIterator: Iterator[T]
    Definition Classes
    SeqLike
  161. def reverseMap[B, That](f: (T) ⇒ B)(implicit bf: CanBuildFrom[IndexedSeq[T], B, That]): That
    Definition Classes
    SeqLike → GenSeqLike
  162. def reversed: List[T]
    Attributes
    protected[this]
    Definition Classes
    TraversableOnce
  163. def runWith[U](action: (T) ⇒ U): (Int) ⇒ Boolean
    Definition Classes
    PartialFunction
  164. def sameElements[B >: T](that: GenIterable[B]): Boolean
    Definition Classes
    IterableLike → GenIterableLike
  165. def scan[B >: T, That](z: B)(op: (B, B) ⇒ B)(implicit cbf: CanBuildFrom[IndexedSeq[T], B, That]): That
    Definition Classes
    TraversableLike → GenTraversableLike
  166. def scanLeft[B, That](z: B)(op: (B, T) ⇒ B)(implicit bf: CanBuildFrom[IndexedSeq[T], B, That]): That
    Definition Classes
    TraversableLike → GenTraversableLike
  167. def scanRight[B, That](z: B)(op: (T, B) ⇒ B)(implicit bf: CanBuildFrom[IndexedSeq[T], B, That]): That
    Definition Classes
    TraversableLike → GenTraversableLike
    Annotations
    @migration
    Migration

    (Changed in version 2.9.0) The behavior of scanRight has changed. The previous behavior can be reproduced with scanRight.reverse.

  168. def segmentLength(p: (T) ⇒ Boolean, from: Int): Int
    Definition Classes
    SeqLike → GenSeqLike
  169. def seq: IndexedSeq[T]
    Definition Classes
    IndexedSeq → IndexedSeqLike → Seq → GenSeq → GenSeqLike → Iterable → GenIterable → Traversable → GenTraversable → Parallelizable → TraversableOnce → GenTraversableOnce
  170. def size: Int
    Definition Classes
    SeqLike → GenTraversableLike → TraversableOnce → GenTraversableOnce
  171. def sizeHintIfCheap: Int
    Attributes
    protected[collection]
    Definition Classes
    IndexedSeqLike → GenTraversableOnce
  172. def slice(from: Int, until: Int): IndexedSeq[T]
    Definition Classes
    IterableLike → TraversableLike → GenTraversableLike
  173. def sliding(size: Int, step: Int): Iterator[IndexedSeq[T]]
    Definition Classes
    IterableLike
  174. def sliding(size: Int): Iterator[IndexedSeq[T]]
    Definition Classes
    IterableLike
  175. def sortBy[B](f: (T) ⇒ B)(implicit ord: Ordering[B]): IndexedSeq[T]
    Definition Classes
    SeqLike
  176. def sortWith(lt: (T, T) ⇒ Boolean): IndexedSeq[T]
    Definition Classes
    SeqLike
  177. def sorted[B >: T](implicit ord: Ordering[B]): IndexedSeq[T]
    Definition Classes
    SeqLike
  178. def span(p: (T) ⇒ Boolean): (IndexedSeq[T], IndexedSeq[T])
    Definition Classes
    TraversableLike → GenTraversableLike
  179. def splitAt(n: Int): (IndexedSeq[T], IndexedSeq[T])
    Definition Classes
    TraversableLike → GenTraversableLike
  180. def startsWith[B](that: GenSeq[B], offset: Int): Boolean
    Definition Classes
    SeqLike → GenSeqLike
  181. def startsWith[B](that: GenSeq[B]): Boolean
    Definition Classes
    GenSeqLike
  182. def stringPrefix: String
    Definition Classes
    TraversableLike → GenTraversableLike
  183. def suggestName(name: ⇒ String): Vec.this.type
    Definition Classes
    HasId
  184. def sum[B >: T](implicit num: Numeric[B]): B
    Definition Classes
    TraversableOnce → GenTraversableOnce
  185. final def synchronized[T0](arg0: ⇒ T0): T0
    Definition Classes
    AnyRef
  186. def tail: IndexedSeq[T]
    Definition Classes
    TraversableLike → GenTraversableLike
  187. def tails: Iterator[IndexedSeq[T]]
    Definition Classes
    TraversableLike
  188. def take(n: Int): IndexedSeq[T]
    Definition Classes
    IterableLike → TraversableLike → GenTraversableLike
  189. def takeRight(n: Int): IndexedSeq[T]
    Definition Classes
    IterableLike
  190. def takeWhile(p: (T) ⇒ Boolean): IndexedSeq[T]
    Definition Classes
    IterableLike → TraversableLike → GenTraversableLike
  191. def thisCollection: IndexedSeq[T]
    Attributes
    protected[this]
    Definition Classes
    IndexedSeqLike → SeqLike → IterableLike → TraversableLike
  192. def to[Col[_]](implicit cbf: CanBuildFrom[Nothing, T, Col[T]]): Col[T]
    Definition Classes
    TraversableLike → TraversableOnce → GenTraversableOnce
  193. final def toAbsoluteTarget: ReferenceTarget

    Returns a FIRRTL IsMember that refers to the absolute path to this object in the elaborated hardware graph

    Returns a FIRRTL IsMember that refers to the absolute path to this object in the elaborated hardware graph

    Definition Classes
    NamedComponent → InstanceId
  194. def toArray[B >: T](implicit arg0: ClassTag[B]): Array[B]
    Definition Classes
    TraversableOnce → GenTraversableOnce
  195. def toBuffer[A1 >: T]: Buffer[A1]
    Definition Classes
    IndexedSeqLike → TraversableOnce → GenTraversableOnce
  196. def toCollection(repr: IndexedSeq[T]): IndexedSeq[T]
    Attributes
    protected[this]
    Definition Classes
    IndexedSeqLike → SeqLike → IterableLike → TraversableLike
  197. def toIndexedSeq: IndexedSeq[T]
    Definition Classes
    TraversableOnce → GenTraversableOnce
  198. def toIterable: Iterable[T]
    Definition Classes
    IterableLike → TraversableOnce → GenTraversableOnce
  199. def toIterator: Iterator[T]
    Definition Classes
    IterableLike → TraversableLike → GenTraversableOnce
    Annotations
    @deprecatedOverriding( ... , "2.11.0" )
  200. def toList: List[T]
    Definition Classes
    TraversableOnce → GenTraversableOnce
  201. def toMap[T, U](implicit ev: <:<[T, (T, U)]): Map[T, U]
    Definition Classes
    TraversableOnce → GenTraversableOnce
  202. final def toNamed: ComponentName

    Returns a FIRRTL ComponentName that references this object

    Returns a FIRRTL ComponentName that references this object

    Definition Classes
    NamedComponent → InstanceId
    Note

    Should not be called until circuit elaboration is complete

  203. def toPrintable: Printable

    Default "pretty-print" implementation Analogous to printing a Seq Results in "Vec(elt0, elt1, ...)"

    Default "pretty-print" implementation Analogous to printing a Seq Results in "Vec(elt0, elt1, ...)"

    Definition Classes
    VecData
  204. def toSeq: Seq[T]
    Definition Classes
    SeqLike → GenSeqLike → TraversableOnce → GenTraversableOnce
  205. def toSet[B >: T]: Set[B]
    Definition Classes
    TraversableOnce → GenTraversableOnce
  206. def toStream: Stream[T]
    Definition Classes
    IterableLike → TraversableLike → GenTraversableOnce
  207. def toString(): String
    Definition Classes
    Vec → SeqLike → TraversableLike → Function1 → AnyRef → Any
  208. final def toTarget: ReferenceTarget

    Returns a FIRRTL ReferenceTarget that references this object

    Returns a FIRRTL ReferenceTarget that references this object

    Definition Classes
    NamedComponent → InstanceId
    Note

    Should not be called until circuit elaboration is complete

  209. def toTraversable: Traversable[T]
    Definition Classes
    TraversableLike → TraversableOnce → GenTraversableOnce
    Annotations
    @deprecatedOverriding( ... , "2.11.0" )
  210. def toVector: Vector[T]
    Definition Classes
    TraversableOnce → GenTraversableOnce
  211. def transpose[B](implicit asTraversable: (T) ⇒ GenTraversableOnce[B]): IndexedSeq[IndexedSeq[B]]
    Definition Classes
    GenericTraversableTemplate
    Annotations
    @migration
    Migration

    (Changed in version 2.9.0) transpose throws an IllegalArgumentException if collections are not uniformly sized.

  212. def union[B >: T, That](that: GenSeq[B])(implicit bf: CanBuildFrom[IndexedSeq[T], B, That]): That
    Definition Classes
    SeqLike → GenSeqLike
  213. def unzip[A1, A2](implicit asPair: (T) ⇒ (A1, A2)): (IndexedSeq[A1], IndexedSeq[A2])
    Definition Classes
    GenericTraversableTemplate
  214. def unzip3[A1, A2, A3](implicit asTriple: (T) ⇒ (A1, A2, A3)): (IndexedSeq[A1], IndexedSeq[A2], IndexedSeq[A3])
    Definition Classes
    GenericTraversableTemplate
  215. def updated[B >: T, That](index: Int, elem: B)(implicit bf: CanBuildFrom[IndexedSeq[T], B, That]): That
    Definition Classes
    SeqLike → GenSeqLike
  216. def view(from: Int, until: Int): SeqView[T, IndexedSeq[T]]
    Definition Classes
    SeqLike → IterableLike → TraversableLike
  217. def view: SeqView[T, IndexedSeq[T]]
    Definition Classes
    SeqLike → IterableLike → TraversableLike
  218. final def wait(): Unit
    Definition Classes
    AnyRef
    Annotations
    @throws( ... )
  219. final def wait(arg0: Long, arg1: Int): Unit
    Definition Classes
    AnyRef
    Annotations
    @throws( ... )
  220. final def wait(arg0: Long): Unit
    Definition Classes
    AnyRef
    Annotations
    @throws( ... ) @native()
  221. final def widthOption: Option[Int]

    Returns Some(width) if the width is known, else None.

    Returns Some(width) if the width is known, else None.

    Definition Classes
    Data
  222. def withFilter(p: (T) ⇒ Boolean): FilterMonadic[T, IndexedSeq[T]]
    Definition Classes
    TraversableLike → FilterMonadic
  223. def zip[A1 >: T, B, That](that: GenIterable[B])(implicit bf: CanBuildFrom[IndexedSeq[T], (A1, B), That]): That
    Definition Classes
    IterableLike → GenIterableLike
  224. def zipAll[B, A1 >: T, That](that: GenIterable[B], thisElem: A1, thatElem: B)(implicit bf: CanBuildFrom[IndexedSeq[T], (A1, B), That]): That
    Definition Classes
    IterableLike → GenIterableLike
  225. def zipWithIndex[A1 >: T, That](implicit bf: CanBuildFrom[IndexedSeq[T], (A1, Int), That]): That
    Definition Classes
    IterableLike → GenIterableLike

Deprecated Value Members

  1. def /:[B](z: B)(op: (B, T) ⇒ B): B
    Definition Classes
    TraversableOnce → GenTraversableOnce
    Annotations
    @deprecated
    Deprecated

    (Since version 2.12.10) Use foldLeft instead of /:

  2. def :\[B](z: B)(op: (T, B) ⇒ B): B
    Definition Classes
    TraversableOnce → GenTraversableOnce
    Annotations
    @deprecated
    Deprecated

    (Since version 2.12.10) Use foldRight instead of :\

  3. def litArg(): Option[LitArg]
    Definition Classes
    Data
    Annotations
    @deprecated
    Deprecated

    (Since version 3.2) litArg is deprecated, use litOption or litTo*Option

Inherited from VecLike[T]

Inherited from IndexedSeq[T]

Inherited from IndexedSeqLike[T, IndexedSeq[T]]

Inherited from Seq[T]

Inherited from SeqLike[T, IndexedSeq[T]]

Inherited from GenSeq[T]

Inherited from GenSeqLike[T, IndexedSeq[T]]

Inherited from Iterable[T]

Inherited from IterableLike[T, IndexedSeq[T]]

Inherited from Equals

Inherited from GenIterable[T]

Inherited from GenIterableLike[T, IndexedSeq[T]]

Inherited from Traversable[T]

Inherited from GenTraversable[T]

Inherited from TraversableLike[T, IndexedSeq[T]]

Inherited from GenTraversableLike[T, IndexedSeq[T]]

Inherited from Parallelizable[T, ParSeq[T]]

Inherited from TraversableOnce[T]

Inherited from GenTraversableOnce[T]

Inherited from FilterMonadic[T, IndexedSeq[T]]

Inherited from HasNewBuilder[T, IndexedSeq[T] @scala.annotation.unchecked.uncheckedVariance]

Inherited from PartialFunction[Int, T]

Inherited from (Int) ⇒ T

Inherited from Aggregate

Inherited from Data

Inherited from SourceInfoDoc

Inherited from NamedComponent

Inherited from HasId

Inherited from internal.InstanceId

Inherited from AnyRef

Inherited from Any

Connect

Utilities for connecting hardware components

SourceInfoTransformMacro

Ungrouped