Packages

object FixedPoint extends NumObject

Factory and convenience methods for the FixedPoint class IMPORTANT: The API provided here is experimental and may change in the future.

Annotations
@deprecated
Deprecated

(Since version Chisel 3.6)

Linear Supertypes
Ordering
  1. Alphabetic
  2. By Inheritance
Inherited
  1. FixedPoint
  2. NumObject
  3. AnyRef
  4. Any
  1. Hide All
  2. Show All
Visibility
  1. Public
  2. All

Value Members

  1. final def !=(arg0: Any): Boolean
    Definition Classes
    AnyRef → Any
  2. final def ##(): Int
    Definition Classes
    AnyRef → Any
  3. final def ==(arg0: Any): Boolean
    Definition Classes
    AnyRef → Any
  4. val MaxBitsBigIntToBigDecimal: Int
    Definition Classes
    NumObject
  5. val MaxBitsBigIntToDouble: Int
    Definition Classes
    NumObject
  6. final def asInstanceOf[T0]: T0
    Definition Classes
    Any
  7. def clone(): AnyRef
    Attributes
    protected[lang]
    Definition Classes
    AnyRef
    Annotations
    @throws( ... ) @native() @HotSpotIntrinsicCandidate()
  8. final def eq(arg0: AnyRef): Boolean
    Definition Classes
    AnyRef
  9. def equals(arg0: Any): Boolean
    Definition Classes
    AnyRef → Any
  10. final def getClass(): Class[_]
    Definition Classes
    AnyRef → Any
    Annotations
    @native() @HotSpotIntrinsicCandidate()
  11. def hashCode(): Int
    Definition Classes
    AnyRef → Any
    Annotations
    @native() @HotSpotIntrinsicCandidate()
  12. final def isInstanceOf[T0]: Boolean
    Definition Classes
    Any
  13. final def ne(arg0: AnyRef): Boolean
    Definition Classes
    AnyRef
  14. final def notify(): Unit
    Definition Classes
    AnyRef
    Annotations
    @native() @HotSpotIntrinsicCandidate()
  15. final def notifyAll(): Unit
    Definition Classes
    AnyRef
    Annotations
    @native() @HotSpotIntrinsicCandidate()
  16. final def synchronized[T0](arg0: ⇒ T0): T0
    Definition Classes
    AnyRef
  17. def toBigDecimal(value: BigInt, binaryPoint: BinaryPoint): BigDecimal

    converts a bigInt with the given binaryPoint into the BigDecimal representation

    converts a bigInt with the given binaryPoint into the BigDecimal representation

    value

    a bigint

    binaryPoint

    the implied binaryPoint of @i

    Definition Classes
    NumObject
  18. def toBigDecimal(value: BigInt, binaryPoint: Int): BigDecimal

    converts a bigInt with the given binaryPoint into the BigDecimal representation

    converts a bigInt with the given binaryPoint into the BigDecimal representation

    value

    a bigint

    binaryPoint

    the implied binaryPoint of @i

    Definition Classes
    NumObject
  19. def toBigInt(value: BigDecimal, binaryPoint: BinaryPoint): BigInt

    How to create a bigint from a big decimal with a specific binaryPoint

    How to create a bigint from a big decimal with a specific binaryPoint

    value

    a BigDecimal value

    binaryPoint

    a binaryPoint that you would like to use

    Definition Classes
    NumObject
  20. def toBigInt(x: BigDecimal, binaryPoint: Int): BigInt

    How to create a bigint from a big decimal with a specific binaryPoint (int)

    How to create a bigint from a big decimal with a specific binaryPoint (int)

    x

    a BigDecimal value

    binaryPoint

    a binaryPoint that you would like to use

    Definition Classes
    NumObject
  21. def toBigInt(x: Double, binaryPoint: BinaryPoint): BigInt

    How to create a bigint from a big decimal with a specific binaryPoint

    How to create a bigint from a big decimal with a specific binaryPoint

    x

    a BigDecimal value

    binaryPoint

    a binaryPoint that you would like to use

    Definition Classes
    NumObject
  22. def toBigInt(x: Double, binaryPoint: Int): BigInt

    How to create a bigint from a double with a specific binaryPoint

    How to create a bigint from a double with a specific binaryPoint

    x

    a double value

    binaryPoint

    a binaryPoint that you would like to use

    Definition Classes
    NumObject
  23. def toDouble(value: BigInt, binaryPoint: BinaryPoint): Double

    converts a bigInt with the given binaryPoint into the double representation

    converts a bigInt with the given binaryPoint into the double representation

    value

    a bigint

    binaryPoint

    the implied binaryPoint of @i

    Definition Classes
    NumObject
  24. def toDouble(i: BigInt, binaryPoint: Int): Double

    converts a bigInt with the given binaryPoint into the double representation

    converts a bigInt with the given binaryPoint into the double representation

    i

    a bigint

    binaryPoint

    the implied binaryPoint of @i

    Definition Classes
    NumObject
  25. def toString(): String
    Definition Classes
    AnyRef → Any
  26. final def wait(arg0: Long, arg1: Int): Unit
    Definition Classes
    AnyRef
    Annotations
    @throws( ... )
  27. final def wait(arg0: Long): Unit
    Definition Classes
    AnyRef
    Annotations
    @throws( ... ) @native()
  28. final def wait(): Unit
    Definition Classes
    AnyRef
    Annotations
    @throws( ... )

Deprecated Value Members

  1. def apply(value: BigInt, width: Width, binaryPoint: BinaryPoint): FixedPoint

    Create an FixedPoint port with specified width and binary position.

    Create an FixedPoint port with specified width and binary position.

    Annotations
    @deprecated
    Deprecated

    (Since version Chisel 3.6)

  2. def apply(width: Width, binaryPoint: BinaryPoint): FixedPoint

    Create an FixedPoint type or port with fixed width.

    Create an FixedPoint type or port with fixed width.

    Annotations
    @deprecated
    Deprecated

    (Since version Chisel 3.6)

  3. def apply(): FixedPoint

    Create an FixedPoint type with inferred width.

    Create an FixedPoint type with inferred width.

    Annotations
    @deprecated
    Deprecated

    (Since version Chisel 3.6)

  4. def finalize(): Unit
    Attributes
    protected[lang]
    Definition Classes
    AnyRef
    Annotations
    @throws( classOf[java.lang.Throwable] ) @Deprecated
    Deprecated
  5. def fromBigDecimal(value: BigDecimal, width: Width, binaryPoint: BinaryPoint): FixedPoint

    Create an FixedPoint literal with inferred width from BigDecimal.

    Create an FixedPoint literal with inferred width from BigDecimal. Use PrivateObject to force users to specify width and binaryPoint by name

    Annotations
    @deprecated
    Deprecated

    (Since version Chisel 3.6)

  6. def fromBigInt(value: BigInt, width: Int, binaryPoint: Int): FixedPoint

    Create an FixedPoint literal with inferred width from BigInt.

    Create an FixedPoint literal with inferred width from BigInt. Use PrivateObject to force users to specify width and binaryPoint by name

    Annotations
    @deprecated
    Deprecated

    (Since version Chisel 3.6)

  7. def fromBigInt(value: BigInt, binaryPoint: BinaryPoint = 0.BP): FixedPoint

    Create an FixedPoint literal with inferred width from BigInt.

    Create an FixedPoint literal with inferred width from BigInt. Use PrivateObject to force users to specify width and binaryPoint by name

    Annotations
    @deprecated
    Deprecated

    (Since version Chisel 3.6)

  8. def fromBigInt(value: BigInt, width: Width, binaryPoint: BinaryPoint): FixedPoint

    Create an FixedPoint literal with inferred width from BigInt.

    Create an FixedPoint literal with inferred width from BigInt. Use PrivateObject to force users to specify width and binaryPoint by name

    Annotations
    @deprecated
    Deprecated

    (Since version Chisel 3.6)

  9. def fromDouble(value: Double, width: Width, binaryPoint: BinaryPoint): FixedPoint

    Create an FixedPoint literal with inferred width from Double.

    Create an FixedPoint literal with inferred width from Double. Use PrivateObject to force users to specify width and binaryPoint by name

    Annotations
    @deprecated
    Deprecated

    (Since version Chisel 3.6)

  10. object Implicits
    Annotations
    @deprecated
    Deprecated

    (Since version Chisel 3.6)

Inherited from NumObject

Inherited from AnyRef

Inherited from Any

Ungrouped