Package

chisel3.util

experimental

Permalink

package experimental

Visibility
  1. Public
  2. All

Type Members

  1. case class ChiselLoadMemoryAnnotation[T <: Data](target: MemBase[T], fileName: String, hexOrBinary: FileType = MemoryLoadFileType.Hex) extends ChiselAnnotation with RunFirrtlTransform with Product with Serializable

    Permalink

    This is the annotation created when using loadMemoryFromFile, it records the memory, the load file and the format of the file.

    This is the annotation created when using loadMemoryFromFile, it records the memory, the load file and the format of the file.

    target

    memory to load

    fileName

    name of input file

    hexOrBinary

    use $readmemh or $readmemb, i.e. hex or binary text input, default is hex

  2. class LoadMemoryTransform extends Transform

    Permalink

    This transform only is activated if verilog is being generated (determined by presence of the proper emit annotation) when activated it creates additional verilog files that contain modules bound to the modules that contain an initializable memory

    This transform only is activated if verilog is being generated (determined by presence of the proper emit annotation) when activated it creates additional verilog files that contain modules bound to the modules that contain an initializable memory

    Currently the only non-verilog based simulation that can support loading memory from a file is treadle but it does not need this transform to do that.

Value Members

  1. object loadMemoryFromFile

    Permalink

Ungrouped