p

chisel3

package chisel3

Linear Supertypes
AnyRef, Any
Content Hierarchy
Ordering
  1. Alphabetic
  2. By Inheritance
Inherited
  1. chisel3
  2. AnyRef
  3. Any
  1. Hide All
  2. Show All
Visibility
  1. Public
  2. Protected

Package Members

  1. package iotesters

Type Members

  1. type ChiselException = chisel3.internal.ChiselException
  2. case class ChiselExecutionOptions(runFirrtlCompiler: Boolean = true, printFullStackTrace: Boolean = false) extends ComposableOptions with Product with Serializable

    Options that are specific to chisel.

    Options that are specific to chisel.

    runFirrtlCompiler

    when true just run chisel, when false run chisel then compile its output with firrtl

    Note

    this extends FirrtlExecutionOptions which extends CommonOptions providing easy access to down chain options

  3. trait HasChiselExecutionOptions extends AnyRef
  4. type InstanceId = chisel3.internal.InstanceId

Deprecated Type Members

  1. case class ChiselExecutionFailure(message: String) extends ChiselExecutionResult with Product with Serializable

    Getting one of these indicates failure of some sort.

    Getting one of these indicates failure of some sort.

    message

    A clue might be provided here.

    Annotations
    @deprecated
    Deprecated

    (Since version Chisel 3.4) This will be removed in Chisel 3.5

  2. trait ChiselExecutionResult extends AnyRef

    This family provides return values from the chisel3 and possibly firrtl compile steps

    This family provides return values from the chisel3 and possibly firrtl compile steps

    Annotations
    @deprecated
    Deprecated

    (Since version Chisel3 3.4) This will be removed in Chisel 3.5

  3. case class ChiselExecutionSuccess(circuitOption: Option[Circuit], emitted: String, firrtlResultOption: Option[FirrtlExecutionResult]) extends ChiselExecutionResult with Product with Serializable

    circuitOption

    Optional circuit, has information like circuit name

    emitted

    The emitted Chirrrl text

    firrtlResultOption

    Optional Firrtl result, @see freechipsproject/firrtl for details

    Annotations
    @deprecated
    Deprecated

    (Since version Chisel 3.4) This will be removed in Chisel 3.5

  4. type MultiIOModule = Module
    Annotations
    @deprecated
    Deprecated

    (Since version Chisel 3.5) MultiIOModule is now just Module

Value Members

  1. val WireInit: WireDefault.type
  2. def getDataElements(a: Aggregate): Seq[Element]
  3. implicit def string2Printable(str: String): Printable

Deprecated Value Members

  1. def getModulePorts(m: Module): Seq[Port]
    Annotations
    @deprecated
    Deprecated

    (Since version Chisel 3.5) duplicated with DataMirror.fullModulePorts, this returns an internal API, will be removed in Chisel 3.6

Inherited from AnyRef

Inherited from Any

Ungrouped