p

chisel3

iotesters

package iotesters

Content Hierarchy
Ordering
  1. Alphabetic
Visibility
  1. Public
  2. Protected

Package Members

  1. package experimental

Type Members

  1. class CommandEditor extends AnyRef

    This function applies a last chance method of making final alteration of the ivl/vcs command line.

    This function applies a last chance method of making final alteration of the ivl/vcs command line. Alterations are made from a text file containing ed style regex substitutions s/regex-pattern/substitution/ or more generally s<<separator>>regex-pattern<<separator>>substitution<<separator>> if the file begins with the line verbose, the substitution parsing and operation will be logged to stdout

  2. trait EditableBuildCSimulatorCommand extends AnyRef

    An EditableBuildCSimulatorCommand provides methods for assembling a system command string from provided flags and editing specifications.

    An EditableBuildCSimulatorCommand provides methods for assembling a system command string from provided flags and editing specifications. This is a trait to facilitate expansion (for more C-based simulators) and testing.

  3. abstract class Exerciser extends BasicTester

    experimental version of a Tester that allows arbitrary testing circuitry to be run in some order

  4. class IOAccessor extends AnyRef

    named access and type information about the IO bundle of a module used for building testing harnesses

  5. trait Pokeable[-T] extends AnyRef
    Annotations
    @implicitNotFound()
  6. trait Processable extends AnyRef

Deprecated Type Members

  1. abstract class AdvTester[+T <: Module] extends PeekPokeTester[T]
    Annotations
    @deprecated
    Deprecated

    (Since version chisel-iotesters 2.5.0) chisel-iotesters is end-of-life. Use chiseltest instead, see https://www.chisel-lang.org/chiseltest/migrating-from-iotesters.

  2. trait AdvTests extends PeekPokeTests
    Annotations
    @deprecated
    Deprecated

    (Since version chisel-iotesters 2.5.0) chisel-iotesters is end-of-life. Use chiseltest instead, see https://www.chisel-lang.org/chiseltest/migrating-from-iotesters.

  3. class ChiselFlatSpec extends AnyFlatSpec with ChiselRunners with Matchers

    Spec base class for BDD-style testers.

    Spec base class for BDD-style testers.

    Annotations
    @deprecated
    Deprecated

    (Since version chisel-iotesters 2.5.0) chisel-iotesters is end-of-life. Use chiseltest instead, see https://www.chisel-lang.org/chiseltest/migrating-from-iotesters.

  4. class ChiselPropSpec extends AnyPropSpec with ChiselRunners with ScalaCheckPropertyChecks

    Spec base class for property-based testers.

    Spec base class for property-based testers.

    Annotations
    @deprecated
    Deprecated

    (Since version chisel-iotesters 2.5.0) chisel-iotesters is end-of-life. Use chiseltest instead, see https://www.chisel-lang.org/chiseltest/migrating-from-iotesters.

  5. trait ChiselRunners extends Assertions

    Common utility functions for Chisel unit tests.

    Common utility functions for Chisel unit tests.

    Annotations
    @deprecated
    Deprecated

    (Since version chisel-iotesters 2.5.0) chisel-iotesters is end-of-life. Use chiseltest instead, see https://www.chisel-lang.org/chiseltest/migrating-from-iotesters.

  6. abstract class HWIOTester extends BasicTester

    provide common facilities for step based testing and decoupled interface testing

    provide common facilities for step based testing and decoupled interface testing

    Annotations
    @deprecated
    Deprecated

    (Since version chisel-iotesters 2.5.0) chisel-iotesters is end-of-life. Use chiseltest instead, see https://www.chisel-lang.org/chiseltest/migrating-from-iotesters.

  7. trait HasTesterOptions extends AnyRef
    Annotations
    @deprecated
    Deprecated

    (Since version chisel-iotesters 2.5.0) chisel-iotesters is end-of-life. Use chiseltest instead, see https://www.chisel-lang.org/chiseltest/migrating-from-iotesters.

  8. trait HasTreadleOptions extends AnyRef
    Annotations
    @deprecated
    Deprecated

    (Since version 20210301) Use Driver#execute[T <: Module](args: Array[String], dut: () => T)

  9. trait HasTreadleSuite extends ExecutionOptionsManager with HasFirrtlOptions with HasTreadleOptions
    Annotations
    @deprecated
    Deprecated

    (Since version 20210301) Use Driver#execute[T <: Module](args: Array[String], dut: () => T)

  10. abstract class OrderedDecoupledHWIOTester extends HWIOTester

    Base class supports implementation of test circuits of modules that use Decoupled inputs and either Decoupled or Valid outputs Multiple decoupled inputs are supported.

    Base class supports implementation of test circuits of modules that use Decoupled inputs and either Decoupled or Valid outputs Multiple decoupled inputs are supported. Testers that subclass this will be strictly ordered. Input will flow into their devices asynchronously but in order they were generated be compared in the order they are generated

    Annotations
    @deprecated
    Deprecated

    (Since version chisel-iotesters 2.5.0) chisel-iotesters is end-of-life. Use chiseltest instead, see https://www.chisel-lang.org/chiseltest/migrating-from-iotesters.

    Example:
    1. class XTimesXTester extends [[OrderedDecoupledHWIOTester]] {
        val device_under_test = new XTimesY
        test_block {
          for {
            i <- 0 to 10
            j <- 0 to 10
          } {
            input_event(device_under_test.io.in.x -> i, device_under_test.in.y -> j)
            output_event(device_under_test.io.out.z -> i*j)
          }
        }
      }

      an input event is a series of values that will be gated into the decoupled input interface at the same time an output event is a series of values that will be tested at the same time independent small state machines are set up for input and output interface all inputs regardless of interfaces are submitted to the device under test in the order in which they were created likewise, all outputs regardless of which interface are tested in the same order that they were created

  11. abstract class PeekPokeTester[+T <: Module] extends AnyRef
    Annotations
    @deprecated
    Deprecated

    (Since version chisel-iotesters 2.5.0) chisel-iotesters is end-of-life. Use chiseltest instead, see https://www.chisel-lang.org/chiseltest/migrating-from-iotesters.

  12. trait PeekPokeTests extends AnyRef
    Annotations
    @deprecated
    Deprecated

    (Since version chisel-iotesters 2.5.0) chisel-iotesters is end-of-life. Use chiseltest instead, see https://www.chisel-lang.org/chiseltest/migrating-from-iotesters.

  13. class ReplOptionsManager extends InterpreterOptionsManager with HasChiselExecutionOptions with HasReplConfig
    Annotations
    @deprecated
    Deprecated

    (Since version chisel-iotesters 2.5.0) chisel-iotesters is end-of-life. Use chiseltest instead, see https://www.chisel-lang.org/chiseltest/migrating-from-iotesters.

  14. abstract class SteppedHWIOTester extends HWIOTester

    Use a UnitTester to construct a test harness for a chisel module this module will be canonically referred to as the device_under_test, often simply as c in a unit test, and also dut The UnitTester is used to put series of values (as chisel3.Vec's) into the ports of the dut io which are INPUT At specified times it check the dut io OUTPUT ports to see that they match a specific value The vec's are assembled through the following API poke, expect and step, pokes

    Use a UnitTester to construct a test harness for a chisel module this module will be canonically referred to as the device_under_test, often simply as c in a unit test, and also dut The UnitTester is used to put series of values (as chisel3.Vec's) into the ports of the dut io which are INPUT At specified times it check the dut io OUTPUT ports to see that they match a specific value The vec's are assembled through the following API poke, expect and step, pokes

    Annotations
    @deprecated
    Deprecated

    (Since version chisel-iotesters 2.5.0) chisel-iotesters is end-of-life. Use chiseltest instead, see https://www.chisel-lang.org/chiseltest/migrating-from-iotesters.

    Example:
    1. class Adder(width:Int) extends Module {
        val io = new Bundle {
          val in0 : UInt(INPUT, width=width)
          val in1 : UInt(INPUT, width=width)
          val out : UInt(OUTPUT, width=width)
        }
      }
      class AdderTester extends UnitTester {
        val device_under_test = Module( new Adder(32) )
      
        testBlock {
          poke(c.io.in0, 5)
          poke(c.io.in1, 7)
          expect(c.io.out, 12)
        }
      }
  15. case class TesterOptions(isGenVerilog: Boolean = false, isGenHarness: Boolean = false, isCompiling: Boolean = false, isRunTest: Boolean = false, isVerbose: Boolean = false, displayBase: Int = 10, testerSeed: Long = System.currentTimeMillis, testCmd: Seq[String] = Seq.empty, moreVcsFlags: Seq[String] = Seq.empty, moreVcsCFlags: Seq[String] = Seq.empty, vcsCommandEdits: String = "", backendName: String = "treadle", logFileName: String = "", waveform: Option[File] = None, moreIvlFlags: Seq[String] = Seq.empty, moreIvlCFlags: Seq[String] = Seq.empty, ivlCommandEdits: String = "", moreVlogFlags: Seq[String] = Seq.empty, moreVsimCFlags: Seq[String] = Seq.empty, moreVsimFlags: Seq[String] = Seq.empty, moreVsimDoCmds: Seq[String] = Seq.empty, vsimCommandEdits: String = "", generateVcdOutput: String = "", generateFsdbOutput: String = "") extends ComposableOptions with Product with Serializable
    Annotations
    @deprecated
    Deprecated

    (Since version chisel-iotesters 2.5.0) chisel-iotesters is end-of-life. Use chiseltest instead, see https://www.chisel-lang.org/chiseltest/migrating-from-iotesters.

  16. class TesterOptionsManager extends ExecutionOptionsManager with HasTesterOptions with HasInterpreterSuite with HasChiselExecutionOptions with HasFirrtlOptions with HasTreadleSuite
    Annotations
    @deprecated
    Deprecated

    (Since version chisel-iotesters 2.5.0) chisel-iotesters is end-of-life. Use chiseltest instead, see https://www.chisel-lang.org/chiseltest/migrating-from-iotesters.

  17. case class TreadleOptions(writeVCD: Boolean = false, enableCoverage: Boolean = false, vcdShowUnderscored: Boolean = false, setVerbose: Boolean = false, setOrderedExec: Boolean = false, allowCycles: Boolean = false, randomSeed: Long = System.currentTimeMillis(), blackBoxFactories: Seq[ScalaBlackBoxFactory] = Seq.empty, maxExecutionDepth: Long = Int.MaxValue, showFirrtlAtLoad: Boolean = false, lowCompileAtLoad: Boolean = true, validIfIsRandom: Boolean = false, rollbackBuffers: Int = 0, clockInfo: Seq[ClockInfo] = Seq.empty, resetName: String = "reset", callResetAtStartUp: Boolean = false, symbolsToWatch: Seq[String] = Seq.empty, memoryToVcd: Seq[String] = Seq.empty, saveFirrtlAtLoad: Boolean = false) extends ComposableOptions with Product with Serializable
    Annotations
    @deprecated
    Deprecated

    (Since version 20210301) Use Driver#execute[T <: Module](args: Array[String], dut: () => T)

  18. class TreadleOptionsManager extends ExecutionOptionsManager with HasTreadleSuite
    Annotations
    @deprecated
    Deprecated

    (Since version 20210301) Use Driver#execute[T <: Module](args: Array[String], dut: () => T)

Value Members

  1. object CommandEditor
  2. object OrderedDecoupledHWIOTester
  3. object Pokeable
  4. object TesterOptions extends Serializable
  5. object VerilatorCppHarnessGenerator

    Generates the Module specific verilator harness cpp file for verilator compilation

  6. object copyIvlFiles

    Copies the necessary header files used for iverilog compilation to the specified destination folder

  7. object copyVerilatorHeaderFiles

    Copies the necessary header files used for verilator compilation to the specified destination folder

  8. object copyVpiFiles

    Copies the necessary header files used for verilator compilation to the specified destination folder

  9. object copyVsimFiles

    Copies the necessary header files used for vlog compilation to the specified destination folder

  10. object genIVLVerilogHarness

    Generates the Module specific verilator harness cpp file for verilator compilation

  11. object genVCSVerilogHarness

    Generates the Module specific verilator harness cpp file for verilator compilation

  12. object genVSIMVerilogHarness

    Generates the Module specific vsim harness verilog file for VSIM backend

Deprecated Value Members

  1. object Driver
    Annotations
    @deprecated
    Deprecated

    (Since version chisel-iotesters 2.5.0) chisel-iotesters is end-of-life. Use chiseltest instead, see https://www.chisel-lang.org/chiseltest/migrating-from-iotesters.

  2. object DriverCompatibility

    This provides components of a compatibility wrapper around Chisel's removed chisel3.Driver.

    This provides components of a compatibility wrapper around Chisel's removed chisel3.Driver.

    Primarily, this object includes Phases that generate firrtl.annotations.Annotations derived from the deprecated firrtl.stage.phases.DriverCompatibility.TopNameAnnotation.

    Annotations
    @deprecated
    Deprecated

    (Since version chisel-iotesters 2.5.0) chisel-iotesters is end-of-life. Use chiseltest instead, see https://www.chisel-lang.org/chiseltest/migrating-from-iotesters.

  3. object PeekPokeTester
    Annotations
    @deprecated
    Deprecated

    (Since version chisel-iotesters 2.5.0) chisel-iotesters is end-of-life. Use chiseltest instead, see https://www.chisel-lang.org/chiseltest/migrating-from-iotesters.

  4. object chiselMain
    Annotations
    @deprecated
    Deprecated

    (Since version chisel-iotesters 2.5.0) chisel-iotesters is end-of-life. Use chiseltest instead, see https://www.chisel-lang.org/chiseltest/migrating-from-iotesters.

  5. object chiselMainTest
    Annotations
    @deprecated
    Deprecated

    (Since version chisel-iotesters 2.5.0) chisel-iotesters is end-of-life. Use chiseltest instead, see https://www.chisel-lang.org/chiseltest/migrating-from-iotesters.

Ungrouped