Packages

  • package root
    Definition Classes
    root
  • package chisel3

    This package contains the main chisel3 API.

    This package contains the main chisel3 API.

    Definition Classes
    root
  • package aop
    Definition Classes
    chisel3
  • package core

    These definitions exist to deal with those clients that relied on chisel3.core.

    These definitions exist to deal with those clients that relied on chisel3.core. They are deprecated and will be removed in the future.

    Definition Classes
    chisel3
  • fromDoubleToLiteral
  • fromIntToBinaryPoint
  • fromIntToWidth
  • package experimental

    Package for experimental features, which may have their API changed, be removed, etc.

    Package for experimental features, which may have their API changed, be removed, etc.

    Because its contents won't necessarily have the same level of stability and support as non-experimental, you must explicitly import this package to use its contents.

    Definition Classes
    chisel3
  • package internal
    Definition Classes
    chisel3
p

chisel3

core

package core

These definitions exist to deal with those clients that relied on chisel3.core. They are deprecated and will be removed in the future.

Linear Supertypes
Ordering
  1. Alphabetic
  2. By Inheritance
Inherited
  1. core
  2. AnyRef
  3. Any
  1. Hide All
  2. Show All
Visibility
  1. Public
  2. All

Type Members

  1. implicit class fromDoubleToLiteral extends experimental.FixedPoint.Implicits.fromDoubleToLiteral
  2. implicit class fromIntToBinaryPoint extends chisel3.fromIntToBinaryPoint
  3. implicit class fromIntToWidth extends chisel3.fromIntToWidth
  4. type ActualDirection = chisel3.ActualDirection
    Annotations
    @deprecated
    Deprecated

    (Since version 3.2) Use the version in chisel3._

  5. type Aggregate = chisel3.Aggregate
    Annotations
    @deprecated
    Deprecated

    (Since version 3.2) Use the version in chisel3._

  6. type Analog = experimental.Analog
    Annotations
    @deprecated
    Deprecated

    (Since version 3.2) Use the version in chisel3.experimental._

  7. type BaseModule = experimental.BaseModule
    Annotations
    @deprecated
    Deprecated

    (Since version 3.2) Use the version in chisel3.experimental._

  8. type Binary = chisel3.Binary
    Annotations
    @deprecated
    Deprecated

    (Since version 3.2) Use the version in chisel3._

  9. type Binding = internal.Binding
    Annotations
    @deprecated
    Deprecated

    (Since version 3.2) Use the version in chisel3.internal._

  10. type Bits = chisel3.Bits
    Annotations
    @deprecated
    Deprecated

    (Since version 3.2) Use the version in chisel3._

  11. type BlackBox = chisel3.BlackBox
    Annotations
    @deprecated
    Deprecated

    (Since version 3.2) Use the version in chisel3._

  12. type Bool = chisel3.Bool
    Annotations
    @deprecated
    Deprecated

    (Since version 3.2) Use the version in chisel3._

  13. type Bundle = chisel3.Bundle
    Annotations
    @deprecated
    Deprecated

    (Since version 3.2) Use the version in chisel3._

  14. type BundleLitBinding = internal.BundleLitBinding
    Annotations
    @deprecated
    Deprecated

    (Since version 3.2) Use the version in chisel3.internal._

  15. type Character = chisel3.Character
    Annotations
    @deprecated
    Deprecated

    (Since version 3.2) Use the version in chisel3._

  16. type ChildBinding = internal.ChildBinding
    Annotations
    @deprecated
    Deprecated

    (Since version 3.2) Use the version in chisel3.internal._

  17. type Clock = chisel3.Clock
    Annotations
    @deprecated
    Deprecated

    (Since version 3.2) Use the version in chisel3._

  18. type ConstrainedBinding = internal.ConstrainedBinding
    Annotations
    @deprecated
    Deprecated

    (Since version 3.2) Use the version in chisel3.internal._

  19. type Data = chisel3.Data
    Annotations
    @deprecated
    Deprecated

    (Since version 3.2) Use the version in chisel3._

  20. type Decimal = chisel3.Decimal
    Annotations
    @deprecated
    Deprecated

    (Since version 3.2) Use the version in chisel3._

  21. type DontCareBinding = internal.DontCareBinding
    Annotations
    @deprecated
    Deprecated

    (Since version 3.2) Use the version in chisel3.internal._

  22. type DoubleParam = experimental.DoubleParam
    Annotations
    @deprecated
    Deprecated

    (Since version 3.2) Use the version in chisel3.experimental._

  23. type Element = chisel3.Element
    Annotations
    @deprecated
    Deprecated

    (Since version 3.2) Use the version in chisel3._

  24. type ElementLitBinding = internal.ElementLitBinding
    Annotations
    @deprecated
    Deprecated

    (Since version 3.2) Use the version in chisel3.internal._

  25. type EnumFactory = experimental.EnumFactory
    Annotations
    @deprecated
    Deprecated

    (Since version 3.2) Use the version in chisel3.experimental._

  26. type EnumType = experimental.EnumType
    Annotations
    @deprecated
    Deprecated

    (Since version 3.2) Use the version in chisel3.experimental._

  27. type ExtModule = experimental.ExtModule
    Annotations
    @deprecated
    Deprecated

    (Since version 3.2) Use the version in chisel3.experimental._

  28. type FirrtlFormat = chisel3.FirrtlFormat
    Annotations
    @deprecated
    Deprecated

    (Since version 3.2) Use the version in chisel3._

  29. type FixedPoint = experimental.FixedPoint
    Annotations
    @deprecated
    Deprecated

    (Since version 3.2) Use the version in chisel3.experimental._

  30. type FullName = chisel3.FullName
    Annotations
    @deprecated
    Deprecated

    (Since version 3.2) Use the version in chisel3._

  31. type Hexadecimal = chisel3.Hexadecimal
    Annotations
    @deprecated
    Deprecated

    (Since version 3.2) Use the version in chisel3._

  32. type IgnoreSeqInBundle = chisel3.IgnoreSeqInBundle
    Annotations
    @deprecated
    Deprecated

    (Since version 3.2) Use the version in chisel3._

  33. type ImplicitModule = chisel3.MultiIOModule
    Annotations
    @deprecated
    Deprecated

    (Since version since the beginning of time)

  34. type IntParam = experimental.IntParam
    Annotations
    @deprecated
    Deprecated

    (Since version 3.2) Use the version in chisel3.experimental._

  35. type LitBinding = internal.LitBinding
    Annotations
    @deprecated
    Deprecated

    (Since version 3.2) Use the version in chisel3.internal._

  36. type Mem[T <: chisel3.Data] = chisel3.Mem[T]
    Annotations
    @deprecated
    Deprecated

    (Since version 3.2) Use the version in chisel3._

  37. type MemBase[T <: chisel3.Data] = chisel3.MemBase[T]
    Annotations
    @deprecated
    Deprecated

    (Since version 3.2) Use the version in chisel3._

  38. type MemoryPortBinding = internal.MemoryPortBinding
    Annotations
    @deprecated
    Deprecated

    (Since version 3.2) Use the version in chisel3.internal._

  39. type Module = LegacyModule
    Annotations
    @deprecated
    Deprecated

    (Since version 3.2) Use the version in chisel3._

  40. type MultiIOModule = chisel3.MultiIOModule
    Annotations
    @deprecated
    Deprecated

    (Since version since the beginning of time)

  41. type Name = chisel3.Name
    Annotations
    @deprecated
    Deprecated

    (Since version 3.2) Use the version in chisel3._

  42. type Num[T <: chisel3.Data] = chisel3.Num[T]
    Annotations
    @deprecated
    Deprecated

    (Since version 3.2) Use the version in chisel3._

  43. type OpBinding = internal.OpBinding
    Annotations
    @deprecated
    Deprecated

    (Since version 3.2) Use the version in chisel3.internal._

  44. type PString = chisel3.PString
    Annotations
    @deprecated
    Deprecated

    (Since version 3.2) Use the version in chisel3._

  45. type Param = experimental.Param
    Annotations
    @deprecated
    Deprecated

    (Since version 3.2) Use the version in chisel3.experimental._

  46. type PortBinding = internal.PortBinding
    Annotations
    @deprecated
    Deprecated

    (Since version 3.2) Use the version in chisel3.internal._

  47. type Printable = chisel3.Printable
    Annotations
    @deprecated
    Deprecated

    (Since version 3.2) Use the version in chisel3._

  48. type Printables = chisel3.Printables
    Annotations
    @deprecated
    Deprecated

    (Since version 3.2) Use the version in chisel3._

  49. type RawModule = chisel3.RawModule
    Annotations
    @deprecated
    Deprecated

    (Since version since the beginning of time)

  50. type RawParam = experimental.RawParam
    Annotations
    @deprecated
    Deprecated

    (Since version 3.2) Use the version in chisel3.experimental._

  51. type ReadOnlyBinding = internal.ReadOnlyBinding
    Annotations
    @deprecated
    Deprecated

    (Since version 3.2) Use the version in chisel3.internal._

  52. type Record = chisel3.Record
    Annotations
    @deprecated
    Deprecated

    (Since version 3.2) Use the version in chisel3._

  53. type RegBinding = internal.RegBinding
    Annotations
    @deprecated
    Deprecated

    (Since version 3.2) Use the version in chisel3.internal._

  54. type Reset = chisel3.Reset
    Annotations
    @deprecated
    Deprecated

    (Since version 3.2) Use the version in chisel3._

  55. type RunFirrtlTransform = experimental.RunFirrtlTransform
    Annotations
    @deprecated
    Deprecated

    (Since version 3.2) Use the version in chisel3.experimental._

  56. type SInt = chisel3.SInt
    Annotations
    @deprecated
    Deprecated

    (Since version 3.2) Use the version in chisel3._

  57. type StringParam = experimental.StringParam
    Annotations
    @deprecated
    Deprecated

    (Since version 3.2) Use the version in chisel3.experimental._

  58. type SyncReadMem[T <: chisel3.Data] = chisel3.SyncReadMem[T]
    Annotations
    @deprecated
    Deprecated

    (Since version 3.2) Use the version in chisel3._

  59. type TopBinding = internal.TopBinding
    Annotations
    @deprecated
    Deprecated

    (Since version 3.2) Use the version in chisel3.internal._

  60. type UInt = chisel3.UInt
    Annotations
    @deprecated
    Deprecated

    (Since version 3.2) Use the version in chisel3._

  61. type UnconstrainedBinding = internal.UnconstrainedBinding
    Annotations
    @deprecated
    Deprecated

    (Since version 3.2) Use the version in chisel3.internal._

  62. type UserModule = chisel3.RawModule
    Annotations
    @deprecated
    Deprecated

    (Since version since the beginning of time)

  63. type Vec[T <: Data] = chisel3.Vec[T]
    Annotations
    @deprecated
    Deprecated

    (Since version 3.2) Use the version in chisel3._

  64. type VecLike[T <: Data] = chisel3.VecLike[T]
    Annotations
    @deprecated
    Deprecated

    (Since version 3.2) Use the version in chisel3._

  65. type WhenContext = chisel3.WhenContext
    Annotations
    @deprecated
    Deprecated

    (Since version 3.2) Use the version in chisel3._

  66. type WireBinding = internal.WireBinding
    Annotations
    @deprecated
    Deprecated

    (Since version 3.2) Use the version in chisel3.internal._

Value Members

  1. val Hexadecimal: chisel3.Hexadecimal.type

Deprecated Value Members

  1. val ActualDirection: chisel3.ActualDirection.type
    Annotations
    @deprecated
    Deprecated

    (Since version 3.2) Use the version in chisel3._

  2. val Analog: experimental.Analog.type
    Annotations
    @deprecated
    Deprecated

    (Since version 3.2) Use the version in chisel3.experimental._

  3. val BiConnect: internal.BiConnect.type
    Annotations
    @deprecated
    Deprecated

    (Since version 3.2) Use the version in chisel3.internal._

  4. val Binary: chisel3.Binary.type
    Annotations
    @deprecated
    Deprecated

    (Since version 3.2) Use the version in chisel3._

  5. val BindingDirection: internal.BindingDirection.type
    Annotations
    @deprecated
    Deprecated

    (Since version 3.2) Use the version in chisel3.internal._

  6. val Bits: chisel3.Bits.type
    Annotations
    @deprecated
    Deprecated

    (Since version 3.2) Use the version in chisel3._

  7. val Bool: chisel3.Bool.type
    Annotations
    @deprecated
    Deprecated

    (Since version 3.2) Use the version in chisel3._

  8. val Character: chisel3.Character.type
    Annotations
    @deprecated
    Deprecated

    (Since version 3.2) Use the version in chisel3._

  9. val Clock: chisel3.Clock.type
    Annotations
    @deprecated
    Deprecated

    (Since version 3.2) Use the version in chisel3._

  10. val CompileOptions: chisel3.CompileOptions.type
    Annotations
    @deprecated
    Deprecated

    (Since version 3.2) Use the version in chisel3._

  11. val DataMirror: experimental.DataMirror.type
    Annotations
    @deprecated
    Deprecated

    (Since version 3.2) Use the version in chisel3.experimental._

  12. val Decimal: chisel3.Decimal.type
    Annotations
    @deprecated
    Deprecated

    (Since version 3.2) Use the version in chisel3._

  13. val DoubleParam: experimental.DoubleParam.type
    Annotations
    @deprecated
    Deprecated

    (Since version 3.2) Use the version in chisel3.experimental._

  14. val EnumAnnotations: experimental.EnumAnnotations.type
    Annotations
    @deprecated
    Deprecated

    (Since version 3.2) Use the version in chisel3.experimental._

  15. val FirrtlFormat: chisel3.FirrtlFormat.type
    Annotations
    @deprecated
    Deprecated

    (Since version 3.2) Use the version in chisel3._

  16. val FixedPoint: experimental.FixedPoint.type
    Annotations
    @deprecated
    Deprecated

    (Since version 3.2) Use the version in chisel3.experimental._

  17. val Flipped: chisel3.Flipped.type
    Annotations
    @deprecated
    Deprecated

    (Since version 3.2) Use the version in chisel3._

  18. val FullName: chisel3.FullName.type
    Annotations
    @deprecated
    Deprecated

    (Since version 3.2) Use the version in chisel3._

  19. val IO: experimental.IO.type
    Annotations
    @deprecated
    Deprecated

    (Since version 3.2) Use the version in chisel3.experimental._

  20. val Input: chisel3.Input.type
    Annotations
    @deprecated
    Deprecated

    (Since version 3.2) Use the version in chisel3._

  21. val IntParam: experimental.IntParam.type
    Annotations
    @deprecated
    Deprecated

    (Since version 3.2) Use the version in chisel3.experimental._

  22. val Mem: chisel3.Mem.type
    Annotations
    @deprecated
    Deprecated

    (Since version 3.2) Use the version in chisel3._

  23. val Module: chisel3.Module.type
    Annotations
    @deprecated
    Deprecated

    (Since version 3.2) Use the version in chisel3._

  24. val MonoConnect: internal.MonoConnect.type
    Annotations
    @deprecated
    Deprecated

    (Since version 3.2) Use the version in chisel3.internal._

  25. val Mux: chisel3.Mux.type
    Annotations
    @deprecated
    Deprecated

    (Since version 3.2) Use the version in chisel3._

  26. val Name: chisel3.Name.type
    Annotations
    @deprecated
    Deprecated

    (Since version 3.2) Use the version in chisel3._

  27. val Output: chisel3.Output.type
    Annotations
    @deprecated
    Deprecated

    (Since version 3.2) Use the version in chisel3._

  28. val PString: chisel3.PString.type
    Annotations
    @deprecated
    Deprecated

    (Since version 3.2) Use the version in chisel3._

  29. val Percent: chisel3.Percent.type
    Annotations
    @deprecated
    Deprecated

    (Since version 3.2) Use the version in chisel3._

  30. val Printable: chisel3.Printable.type
    Annotations
    @deprecated
    Deprecated

    (Since version 3.2) Use the version in chisel3._

  31. val Printables: chisel3.Printables.type
    Annotations
    @deprecated
    Deprecated

    (Since version 3.2) Use the version in chisel3._

  32. val RawParam: experimental.RawParam.type
    Annotations
    @deprecated
    Deprecated

    (Since version 3.2) Use the version in chisel3.experimental._

  33. val Reg: chisel3.Reg.type
    Annotations
    @deprecated
    Deprecated

    (Since version 3.2) Use the version in chisel3._

  34. val RegInit: chisel3.RegInit.type
    Annotations
    @deprecated
    Deprecated

    (Since version 3.2) Use the version in chisel3._

  35. val RegNext: chisel3.RegNext.type
    Annotations
    @deprecated
    Deprecated

    (Since version 3.2) Use the version in chisel3._

  36. val SInt: chisel3.SInt.type
    Annotations
    @deprecated
    Deprecated

    (Since version 3.2) Use the version in chisel3._

  37. val StringParam: experimental.StringParam.type
    Annotations
    @deprecated
    Deprecated

    (Since version 3.2) Use the version in chisel3.experimental._

  38. val SyncReadMem: chisel3.SyncReadMem.type
    Annotations
    @deprecated
    Deprecated

    (Since version 3.2) Use the version in chisel3._

  39. val UInt: chisel3.UInt.type
    Annotations
    @deprecated
    Deprecated

    (Since version 3.2) Use the version in chisel3._

  40. val Vec: chisel3.Vec.type
    Annotations
    @deprecated
    Deprecated

    (Since version 3.2) Use the version in chisel3._

  41. val VecInit: chisel3.VecInit.type
    Annotations
    @deprecated
    Deprecated

    (Since version 3.2) Use the version in chisel3._

  42. val WireDefault: chisel3.WireDefault.type
    Annotations
    @deprecated
    Deprecated

    (Since version 3.2) Use the version in chisel3._

  43. val annotate: experimental.annotate.type
    Annotations
    @deprecated
    Deprecated

    (Since version 3.2) Use the version in chisel3.experimental._

  44. val assert: chisel3.assert.type
    Annotations
    @deprecated
    Deprecated

    (Since version 3.2) Use the version in chisel3._

  45. val attach: experimental.attach.type
    Annotations
    @deprecated
    Deprecated

    (Since version 3.2) Use the version in chisel3.experimental._

  46. val chiselTypeOf: chisel3.chiselTypeOf.type
    Annotations
    @deprecated
    Deprecated

    (Since version 3.2) Use the version in chisel3._

  47. val dontTouch: chisel3.dontTouch.type
    Annotations
    @deprecated
    Deprecated

    (Since version 3.2) Use the version in chisel3._

  48. val printf: chisel3.printf.type
    Annotations
    @deprecated
    Deprecated

    (Since version 3.2) Use the version in chisel3._

  49. val requireIsChiselType: internal.requireIsChiselType.type
    Annotations
    @deprecated
    Deprecated

    (Since version 3.2) Use the version in chisel3.internal._

  50. val requireIsHardware: internal.requireIsHardware.type
    Annotations
    @deprecated
    Deprecated

    (Since version 3.2) Use the version in chisel3.internal._

  51. val when: chisel3.when.type
    Annotations
    @deprecated
    Deprecated

    (Since version 3.2) Use the version in chisel3._

  52. val withClock: chisel3.withClock.type
    Annotations
    @deprecated
    Deprecated

    (Since version 3.2) Use the version in chisel3._

  53. val withClockAndReset: chisel3.withClockAndReset.type
    Annotations
    @deprecated
    Deprecated

    (Since version 3.2) Use the version in chisel3._

  54. val withReset: chisel3.withReset.type
    Annotations
    @deprecated
    Deprecated

    (Since version 3.2) Use the version in chisel3._

Inherited from AnyRef

Inherited from Any

Ungrouped