Packages

p

chiseltest

package chiseltest

Basic interfaces and implicit conversions for testers2

Linear Supertypes
AnyRef, Any
Ordering
  1. Alphabetic
  2. By Inheritance
Inherited
  1. chiseltest
  2. AnyRef
  3. Any
  1. Hide All
  2. Show All
Visibility
  1. Public
  2. Protected

Package Members

  1. package coverage
  2. package experimental

    Your warranty is now void.

    Your warranty is now void.

    experimental contains cutting edge features that are, well, experimental, and carry no expectation of long-term support. We may break experimental APIs at any time. These may not work as expected, or may have unforeseen side effects, or may be powerful yet dangerous.

    You have been warned.

  3. package formal
  4. package internal
  5. package iotesters
  6. package simulator

Type Members

  1. trait ChiselScalatestTester extends Assertions with TestSuiteMixin with TestEnvInterface with HasTestName
  2. trait ChiselUtestTester extends TestSuite with TestEnvInterface

    Using utest as test framework

    Using utest as test framework

    // define test spec in trait
    trait HasTestChipSpec {
      import chisel3.tester._
      def testChipSpec(dut: TestChip): Unit = { c =>
        // body of the unit test, c is a reference
        c.io.input.poke(1.U)
        c.io.output.expect(2.U)
      }
    }
    
    object SomeCircuitSpecTester extends ChiselUtestTester with HasSomeCircuitSpec {
      // define test by Tests macro
      val tests: Tests = Tests {
        // invoke test with test(""){}
        test("comments or name to a test"){
          // test function runs here
          testCircuit(new SomeCircuit, Seq(WriteVcdAnnotation))(SomeCircuitSpec)
        }
      }
    }
  3. class ClockResolutionException extends Exception
  4. class DecoupledDriver[T <: Data] extends AnyRef
  5. trait HasTestName extends AnyRef
  6. class LiteralTypeException extends Exception
  7. class NotLiteralException extends Exception
  8. sealed class Region extends AnyRef

    Base class for regions, akin to Verilog regions for ordering events between threads within the same timestep.

    Base class for regions, akin to Verilog regions for ordering events between threads within the same timestep. order is the order regions run in, with 0 being the default, and incrementing regions running later. TODO: have a more extensible ordering than ints.

  9. class TemporalParadox extends Exception
  10. class TestResult extends AnyRef
  11. class ThreadOrderDependentException extends Exception
  12. class TimeoutException extends Exception
  13. class UnpokeableException extends Exception
  14. class UnsupportedOperationException extends Exception
  15. class ValidDriver[T <: Data] extends AnyRef
  16. type WriteLxtAnnotation = chiseltest.simulator.WriteLxtAnnotation
  17. implicit class testableClock extends AnyRef
  18. implicit class testableData[T <: Data] extends AnyRef
  19. implicit class testableRecord[T <: Record] extends AnyRef
  20. implicit class testableVec[T <: Vec[_]] extends AnyRef

Value Members

  1. val IcarusBackendAnnotation: chiseltest.simulator.IcarusBackendAnnotation.type
  2. val TreadleBackendAnnotation: chiseltest.simulator.TreadleBackendAnnotation.type
  3. val VcsBackendAnnotation: chiseltest.simulator.VcsBackendAnnotation.type
  4. val VerilatorBackendAnnotation: chiseltest.simulator.VerilatorBackendAnnotation.type
  5. val WriteFstAnnotation: chiseltest.simulator.WriteFstAnnotation.type
  6. val WriteLxtAnnotation: chiseltest.simulator.WriteLxtAnnotation.type
  7. val WriteVcdAnnotation: chiseltest.simulator.WriteVcdAnnotation.type
  8. val WriteVpdAnnotation: chiseltest.simulator.WriteVpdAnnotation.type
  9. implicit def decoupledToDriver[T <: Data](x: ReadyValidIO[T]): DecoupledDriver[T]
  10. def parallel(run1: => Unit, run2: => Unit): Unit
  11. def timescope(contents: => Unit): Unit
  12. implicit def validToDriver[T <: Data](x: ValidIO[T]): ValidDriver[T]
  13. object ClockResolutionUtils

    Provides clock-resolution-specific abstractions on top of getVar/setVar.

    Provides clock-resolution-specific abstractions on top of getVar/setVar. For library builders, not top-level test writers.

  14. object DecoupledDriver
  15. object Monitor extends Region
  16. object RawTester

    This is a simple tester that does not require that it be within the scope of a scalatest in order to run.

    This is a simple tester that does not require that it be within the scope of a scalatest in order to run. This form is suitable for running in the Jupyter notebook.

  17. object Region
  18. object TestInstance
  19. object TestdriverMain extends Region
  20. object ValidDriver
  21. object fork extends ForkBuilder

Inherited from AnyRef

Inherited from Any

Ungrouped