Packages

p

chiseltest

package chiseltest

Basic interfaces and implicit conversions for testers2

Linear Supertypes
AnyRef, Any
Ordering
  1. Alphabetic
  2. By Inheritance
Inherited
  1. chiseltest
  2. AnyRef
  3. Any
  1. Hide All
  2. Show All
Visibility
  1. Public
  2. Protected

Package Members

  1. package coverage
  2. package experimental

    Your warranty is now void.

    Your warranty is now void.

    experimental contains cutting edge features that are, well, experimental, and carry no expectation of long-term support. We may break experimental APIs at any time. These may not work as expected, or may have unforeseen side effects, or may be powerful yet dangerous.

    You have been warned.

  3. package formal
  4. package internal
  5. package iotesters
  6. package simulator

Type Members

  1. class ChiselAssertionError extends Exception

    Indicates that a Chisel assert(...) or assume(...) statement has failed.

  2. trait ChiselScalatestTester extends Assertions with TestSuiteMixin with TestEnvInterface with HasTestName
  3. trait ChiselUtestTester extends TestSuite with TestEnvInterface

    Using utest as test framework

    Using utest as test framework

    // define test spec in trait
    trait HasTestChipSpec {
      import chisel3.tester._
      def testChipSpec(dut: TestChip): Unit = { c =>
        // body of the unit test, c is a reference
        c.io.input.poke(1.U)
        c.io.output.expect(2.U)
      }
    }
    
    object SomeCircuitSpecTester extends ChiselUtestTester with HasSomeCircuitSpec {
      // define test by Tests macro
      val tests: Tests = Tests {
        // invoke test with test(""){}
        test("comments or name to a test"){
          // test function runs here
          testCircuit(new SomeCircuit, Seq(WriteVcdAnnotation))(SomeCircuitSpec)
        }
      }
    }
  4. class ClockResolutionException extends Exception
  5. class DecoupledDriver[T <: Data] extends AnyRef
  6. trait HasTestName extends AnyRef
  7. class LiteralTypeException extends Exception
  8. class NonLiteralValueError extends Exception

    Indicates that a value used in a poke/expect is not a literal.

    Indicates that a value used in a poke/expect is not a literal. It could be hardware or a DontCare which is only allowed when using pokePartial/expectPartial.

  9. class NotLiteralException extends Exception
  10. sealed class Region extends AnyRef

    Base class for regions, akin to Verilog regions for ordering events between threads within the same timestep.

    Base class for regions, akin to Verilog regions for ordering events between threads within the same timestep. order is the order regions run in, with 0 being the default, and incrementing regions running later. TODO: have a more extensible ordering than ints.

  11. class StopException extends Exception

    Indicates that a Chisel stop() statement was triggered.

  12. class TemporalParadox extends Exception
  13. class TestResult extends AnyRef
  14. class ThreadOrderDependentException extends Exception
  15. class TimeoutException extends Exception
  16. class UnpokeableException extends Exception
  17. class UnsupportedOperationException extends Exception
  18. class ValidDriver[T <: Data] extends AnyRef
  19. type WriteLxtAnnotation = chiseltest.simulator.WriteLxtAnnotation
  20. implicit class testableBool extends AnyRef

    allows access to chisel Bool type signals with Scala native values

  21. implicit class testableClock extends AnyRef
  22. implicit class testableData[T <: Data] extends AnyRef
  23. implicit class testableFixedPoint extends AnyRef

    allows access to chisel FixedPoint type signals with Scala native values

  24. implicit class testableInterval extends AnyRef

    allows access to chisel Interval type signals with Scala native values

  25. implicit class testableRecord[T <: Record] extends AnyRef
  26. implicit class testableSInt extends AnyRef

    allows access to chisel SInt type signals with Scala native values

  27. implicit class testableUInt extends AnyRef

    allows access to chisel UInt type signals with Scala native values

  28. implicit class testableVec[T <: Vec[_]] extends AnyRef

Value Members

  1. val IcarusBackendAnnotation: chiseltest.simulator.IcarusBackendAnnotation.type
  2. val TreadleBackendAnnotation: chiseltest.simulator.TreadleBackendAnnotation.type
  3. val VcsBackendAnnotation: chiseltest.simulator.VcsBackendAnnotation.type
  4. val VerilatorBackendAnnotation: chiseltest.simulator.VerilatorBackendAnnotation.type
  5. val WriteFsdbAnnotation: chiseltest.simulator.WriteFsdbAnnotation.type
  6. val WriteFstAnnotation: chiseltest.simulator.WriteFstAnnotation.type
  7. val WriteLxtAnnotation: chiseltest.simulator.WriteLxtAnnotation.type
  8. val WriteVcdAnnotation: chiseltest.simulator.WriteVcdAnnotation.type
  9. val WriteVpdAnnotation: chiseltest.simulator.WriteVpdAnnotation.type
  10. implicit def decoupledToDriver[T <: Data](x: ReadyValidIO[T]): DecoupledDriver[T]
  11. def parallel(run1: => Unit, run2: => Unit): Unit
  12. def timescope(contents: => Unit): Unit
  13. implicit def validToDriver[T <: Data](x: ValidIO[T]): ValidDriver[T]
  14. object ClockResolutionUtils

    Provides clock-resolution-specific abstractions on top of getVar/setVar.

    Provides clock-resolution-specific abstractions on top of getVar/setVar. For library builders, not top-level test writers.

  15. object DecoupledDriver
  16. object Monitor extends Region
  17. object RawTester

    This is a simple tester that does not require that it be within the scope of a scalatest in order to run.

    This is a simple tester that does not require that it be within the scope of a scalatest in order to run. This form is suitable for running in the Jupyter notebook.

  18. object Region
  19. object TestInstance
  20. object TestdriverMain extends Region
  21. object ValidDriver
  22. object fork extends ForkBuilder

Inherited from AnyRef

Inherited from Any

Ungrouped