Packages

p

chiseltest

internal

package internal

Ordering
  1. Alphabetic
Visibility
  1. Public
  2. Protected

Type Members

  1. trait AbstractTesterThread extends AnyRef
  2. trait BackendInstance[T <: Module] extends BackendInterface

    Backend associated with a particular circuit, and can run tests

  3. trait BackendInterface extends AnyRef

    Common interface definition for tester backends.

    Common interface definition for tester backends. Internal API.

  4. class FailedExpectException extends Exception
  5. case class ForkBuilder(name: Option[String], region: Option[Region], threads: Seq[AbstractTesterThread]) extends Product with Serializable
  6. class GenericBackend[T <: Module] extends BackendInstance[T] with ThreadedBackend[T]

    Chiseltest threaded backend using the generic SimulatorContext abstraction from chiseltest.simulator

  7. class SingleThreadBackend[T <: Module] extends BackendInstance[T]

    Chiseltest backend that does not support fork or timescope but is generally faster since it does not need to launch any Java threads.

  8. trait TestEnvInterface extends AnyRef

    Interface into the testing environment, like ScalaTest

  9. class TesterThreadList extends AnyRef
  10. trait ThreadedBackend[T <: Module] extends BackendInterface

    Base trait for backends implementing concurrency by threading.

    Base trait for backends implementing concurrency by threading.

    Implements these BackendInterface methods: - doFork - doJoin

    Provides these methods for use by subclasses: - doPoke, doPeek, which logs peek and poke actions for cross-thread-interaction checking - newTimescope, closeTimescope: provides record-keeping for timescopes - runThreads: runs all threads waiting on a set of clocks - scheduler: called from within a test thread, suspends the current thread and runs the next one

Value Members

  1. object BackendExecutive
  2. case object CachingAnnotation extends NoTargetAnnotation with Product with Serializable

    Hint for the backend to try and re-use a compiled simulation from a prior run.

  3. object Context
  4. object HardwareTesterBackend

    Backend that allows us to run hardware testers in the style of chisel3.testers.BasicTester efficiently.

    Backend that allows us to run hardware testers in the style of chisel3.testers.BasicTester efficiently.

    Note

    if the dut extends chisel3.testers.BasicTester the finish method will be called

  5. case object NoThreadingAnnotation extends NoTargetAnnotation with Product with Serializable

    This option may speed up your test, but any use of fork or timescope will fail.

  6. object PeekPokeTesterBackend

    Backend that allows us to run old-style "PeekPoke" testers.

  7. case object PrintPeekPoke extends NoTargetAnnotation with Product with Serializable

    Use this option to have all simulator interactions printed to stdout.

Ungrouped