Packages

t

chisel3

VecLike

trait VecLike[T <: Data] extends IndexedSeq[T] with HasId with SourceInfoDoc

A trait for Vecs containing common hardware generators for collection operations.

Source
Aggregate.scala
Known Subclasses
Type Hierarchy
Ordering
  1. Grouped
  2. Alphabetic
  3. By Inheritance
Inherited
  1. VecLike
  2. SourceInfoDoc
  3. HasId
  4. InstanceId
  5. IndexedSeq
  6. IndexedSeqOps
  7. IndexedSeq
  8. IndexedSeqOps
  9. Seq
  10. SeqOps
  11. Seq
  12. Equals
  13. SeqOps
  14. PartialFunction
  15. Function1
  16. Iterable
  17. Iterable
  18. IterableFactoryDefaults
  19. IterableOps
  20. IterableOnceOps
  21. IterableOnce
  22. AnyRef
  23. Any
Implicitly
  1. by UnliftOps
  2. by iterableOnceExtensionMethods
  3. by any2stringadd
  4. by StringFormat
  5. by Ensuring
  6. by ArrowAssoc
  1. Hide All
  2. Show All
Visibility
  1. Public
  2. Protected

Abstract Value Members

  1. abstract def apply(i: Int): T
    Definition Classes
    SeqOps
    Annotations
    @throws(scala.this.throws.<init>$default$1[IndexOutOfBoundsException])
  2. abstract def do_apply(p: UInt)(implicit compileOptions: CompileOptions): T

  3. abstract def length: Int
    Definition Classes
    SeqOps
  4. abstract def toAbsoluteTarget: IsMember

    Returns a FIRRTL IsMember that refers to the absolute path to this object in the elaborated hardware graph

    Returns a FIRRTL IsMember that refers to the absolute path to this object in the elaborated hardware graph

    Definition Classes
    InstanceId
  5. abstract def toNamed: Named

    Returns a FIRRTL Named that refers to this object in the elaborated hardware graph

    Returns a FIRRTL Named that refers to this object in the elaborated hardware graph

    Definition Classes
    InstanceId
  6. abstract def toTarget: IsMember

    Returns a FIRRTL IsMember that refers to this object in the elaborated hardware graph

    Returns a FIRRTL IsMember that refers to this object in the elaborated hardware graph

    Definition Classes
    InstanceId

Concrete Value Members

  1. final def !=(arg0: Any): Boolean
    Definition Classes
    AnyRef → Any
  2. final def ##: Int
    Definition Classes
    AnyRef → Any
  3. def +(other: String): String
    Implicit
    This member is added by an implicit conversion from VecLike[T] toany2stringadd[VecLike[T]] performed by method any2stringadd in scala.Predef.
    Definition Classes
    any2stringadd
  4. final def ++[B >: T](suffix: IterableOnce[B]): IndexedSeq[B]
    Definition Classes
    IterableOps
    Annotations
    @inline()
  5. final def ++:[B >: T](prefix: IterableOnce[B]): IndexedSeq[B]
    Definition Classes
    SeqOps → IterableOps
    Annotations
    @inline()
  6. final def +:[B >: T](elem: B): IndexedSeq[B]
    Definition Classes
    SeqOps
    Annotations
    @inline()
  7. def ->[B](y: B): (VecLike[T], B)
    Implicit
    This member is added by an implicit conversion from VecLike[T] toArrowAssoc[VecLike[T]] performed by method ArrowAssoc in scala.Predef.This conversion will take place only if T is a subclass of Option[Nothing] (T <: Option[Nothing]).
    Definition Classes
    ArrowAssoc
    Annotations
    @inline()
  8. final def :+[B >: T](elem: B): IndexedSeq[B]
    Definition Classes
    SeqOps
    Annotations
    @inline()
  9. final def :++[B >: T](suffix: IterableOnce[B]): IndexedSeq[B]
    Definition Classes
    SeqOps
    Annotations
    @inline()
  10. final def ==(arg0: Any): Boolean
    Definition Classes
    AnyRef → Any
  11. final def addString(b: StringBuilder): b.type
    Definition Classes
    IterableOnceOps
    Annotations
    @inline()
  12. final def addString(b: StringBuilder, sep: String): b.type
    Definition Classes
    IterableOnceOps
    Annotations
    @inline()
  13. def addString(b: StringBuilder, start: String, sep: String, end: String): b.type
    Definition Classes
    IterableOnceOps
  14. def andThen[C](k: PartialFunction[T, C]): PartialFunction[Int, C]
    Definition Classes
    PartialFunction
  15. def andThen[C](k: (T) => C): PartialFunction[Int, C]
    Definition Classes
    PartialFunction → Function1
  16. def appended[B >: T](elem: B): IndexedSeq[B]
    Definition Classes
    SeqOps
  17. def appendedAll[B >: T](suffix: IterableOnce[B]): IndexedSeq[B]
    Definition Classes
    SeqOps
  18. macro def apply(p: UInt): T
  19. def applyOrElse[A1 <: Int, B1 >: T](x: A1, default: (A1) => B1): B1
    Definition Classes
    PartialFunction
  20. def applyPreferredMaxLength: Int
    Attributes
    protected
    Definition Classes
    IndexedSeq
  21. final def asInstanceOf[T0]: T0
    Definition Classes
    Any
  22. def canEqual(that: Any): Boolean
    Definition Classes
    IndexedSeq → Seq → Equals
  23. def circuitName: String
    Attributes
    protected
    Definition Classes
    HasId
  24. def className: String
    Attributes
    protected[this]
    Definition Classes
    Iterable
  25. def clone(): AnyRef
    Attributes
    protected[lang]
    Definition Classes
    AnyRef
    Annotations
    @throws(classOf[java.lang.CloneNotSupportedException]) @native() @HotSpotIntrinsicCandidate()
  26. final def coll: VecLike.this.type
    Attributes
    protected
    Definition Classes
    Iterable → IterableOps
  27. def collect[B](pf: PartialFunction[T, B]): IndexedSeq[B]
    Definition Classes
    IterableOps → IterableOnceOps
  28. def collectFirst[B](pf: PartialFunction[T, B]): Option[B]
    Definition Classes
    IterableOnceOps
  29. def combinations(n: Int): Iterator[IndexedSeq[T]]
    Definition Classes
    SeqOps
  30. def compose[R](k: PartialFunction[R, Int]): PartialFunction[R, T]
    Definition Classes
    PartialFunction
  31. def compose[A](g: (A) => Int): (A) => T
    Definition Classes
    Function1
    Annotations
    @unspecialized()
  32. final def concat[B >: T](suffix: IterableOnce[B]): IndexedSeq[B]
    Definition Classes
    SeqOps → IterableOps
    Annotations
    @inline()
  33. macro def contains(x: T)(implicit ev: <:<[T, UInt]): Bool

    Outputs true if the vector contains at least one element equal to x (using the === operator).

  34. def contains[A1 >: T](elem: A1): Boolean
    Definition Classes
    SeqOps
  35. def containsSlice[B >: T](that: Seq[B]): Boolean
    Definition Classes
    SeqOps
  36. def copyToArray[B >: T](xs: Array[B], start: Int, len: Int): Int
    Definition Classes
    IterableOnceOps
  37. def copyToArray[B >: T](xs: Array[B], start: Int): Int
    Definition Classes
    IterableOnceOps
    Annotations
    @deprecatedOverriding()
  38. def copyToArray[B >: T](xs: Array[B]): Int
    Definition Classes
    IterableOnceOps
    Annotations
    @deprecatedOverriding()
  39. def corresponds[B](that: Seq[B])(p: (T, B) => Boolean): Boolean
    Definition Classes
    SeqOps
  40. def corresponds[B](that: IterableOnce[B])(p: (T, B) => Boolean): Boolean
    Definition Classes
    IterableOnceOps
  41. macro def count(p: (T) => Bool): UInt

    Outputs the number of elements for which p is true.

  42. def count(p: (T) => Boolean): Int
    Definition Classes
    IterableOnceOps
  43. def diff[B >: T](that: Seq[B]): IndexedSeq[T]
    Definition Classes
    SeqOps
  44. def distinct: IndexedSeq[T]
    Definition Classes
    SeqOps
  45. def distinctBy[B](f: (T) => B): IndexedSeq[T]
    Definition Classes
    SeqOps
  46. def do_contains(x: T)(implicit sourceInfo: SourceInfo, ev: <:<[T, UInt], compileOptions: CompileOptions): Bool

  47. def do_count(p: (T) => Bool)(implicit sourceInfo: SourceInfo, compileOptions: CompileOptions): UInt

  48. def do_exists(p: (T) => Bool)(implicit sourceInfo: SourceInfo, compileOptions: CompileOptions): Bool

  49. def do_forall(p: (T) => Bool)(implicit sourceInfo: SourceInfo, compileOptions: CompileOptions): Bool

  50. def do_indexWhere(p: (T) => Bool)(implicit sourceInfo: SourceInfo, compileOptions: CompileOptions): UInt

  51. def do_lastIndexWhere(p: (T) => Bool)(implicit sourceInfo: SourceInfo, compileOptions: CompileOptions): UInt

  52. def do_onlyIndexWhere(p: (T) => Bool)(implicit sourceInfo: SourceInfo, compileOptions: CompileOptions): UInt

  53. def drop(n: Int): IndexedSeq[T]
    Definition Classes
    IndexedSeqOps → IterableOps → IterableOnceOps
  54. def dropRight(n: Int): IndexedSeq[T]
    Definition Classes
    IndexedSeqOps → IterableOps
  55. def dropWhile(p: (T) => Boolean): IndexedSeq[T]
    Definition Classes
    IterableOps → IterableOnceOps
  56. def elementWise: ElementWiseExtractor[Int, T]
    Definition Classes
    PartialFunction
  57. def empty: IndexedSeq[T]
    Definition Classes
    IterableFactoryDefaults → IterableOps
  58. def endsWith[B >: T](that: Iterable[B]): Boolean
    Definition Classes
    SeqOps
  59. def ensuring(cond: (VecLike[T]) => Boolean, msg: => Any): VecLike[T]
    Implicit
    This member is added by an implicit conversion from VecLike[T] toEnsuring[VecLike[T]] performed by method Ensuring in scala.Predef.
    Definition Classes
    Ensuring
  60. def ensuring(cond: (VecLike[T]) => Boolean): VecLike[T]
    Implicit
    This member is added by an implicit conversion from VecLike[T] toEnsuring[VecLike[T]] performed by method Ensuring in scala.Predef.
    Definition Classes
    Ensuring
  61. def ensuring(cond: Boolean, msg: => Any): VecLike[T]
    Implicit
    This member is added by an implicit conversion from VecLike[T] toEnsuring[VecLike[T]] performed by method Ensuring in scala.Predef.
    Definition Classes
    Ensuring
  62. def ensuring(cond: Boolean): VecLike[T]
    Implicit
    This member is added by an implicit conversion from VecLike[T] toEnsuring[VecLike[T]] performed by method Ensuring in scala.Predef.
    Definition Classes
    Ensuring
  63. final def eq(arg0: AnyRef): Boolean
    Definition Classes
    AnyRef
  64. def equals(that: Any): Boolean
    Definition Classes
    VecLike → HasId → Seq → Equals → AnyRef → Any
  65. macro def exists(p: (T) => Bool): Bool

    Outputs true if p outputs true for at least one element.

  66. def exists(p: (T) => Boolean): Boolean
    Definition Classes
    IterableOnceOps
  67. def filter(pred: (T) => Boolean): IndexedSeq[T]
    Definition Classes
    IterableOps → IterableOnceOps
  68. def filterNot(pred: (T) => Boolean): IndexedSeq[T]
    Definition Classes
    IterableOps → IterableOnceOps
  69. def find(p: (T) => Boolean): Option[T]
    Definition Classes
    IterableOnceOps
  70. def findLast(p: (T) => Boolean): Option[T]
    Definition Classes
    SeqOps
  71. def flatMap[B](f: (T) => IterableOnce[B]): IndexedSeq[B]
    Definition Classes
    IterableOps → IterableOnceOps
  72. def flatten[B](implicit asIterable: (T) => IterableOnce[B]): IndexedSeq[B]
    Definition Classes
    IterableOps → IterableOnceOps
  73. def fold[A1 >: T](z: A1)(op: (A1, A1) => A1): A1
    Definition Classes
    IterableOnceOps
  74. def foldLeft[B](z: B)(op: (B, T) => B): B
    Definition Classes
    IterableOnceOps
  75. def foldRight[B](z: B)(op: (T, B) => B): B
    Definition Classes
    IndexedSeqOps → IterableOnceOps
  76. macro def forall(p: (T) => Bool): Bool

    Outputs true if p outputs true for every element.

  77. def forall(p: (T) => Boolean): Boolean
    Definition Classes
    IterableOnceOps
  78. def foreach[U](f: (T) => U): Unit
    Definition Classes
    IterableOnceOps
  79. def fromSpecific(coll: IterableOnce[T]): IndexedSeq[T]
    Attributes
    protected
    Definition Classes
    IterableFactoryDefaults → IterableOps
  80. final def getClass(): Class[_ <: AnyRef]
    Definition Classes
    AnyRef → Any
    Annotations
    @native() @HotSpotIntrinsicCandidate()
  81. def groupBy[K](f: (T) => K): Map[K, IndexedSeq[T]]
    Definition Classes
    IterableOps
  82. def groupMap[K, B](key: (T) => K)(f: (T) => B): Map[K, IndexedSeq[B]]
    Definition Classes
    IterableOps
  83. def groupMapReduce[K, B](key: (T) => K)(f: (T) => B)(reduce: (B, B) => B): Map[K, B]
    Definition Classes
    IterableOps
  84. def grouped(size: Int): Iterator[IndexedSeq[T]]
    Definition Classes
    IterableOps
  85. def hasSeed: Boolean

    returns

    Whether either autoName or suggestName has been called

    Definition Classes
    HasId
  86. def hashCode(): Int
    Definition Classes
    VecLike → HasId → Seq → AnyRef → Any
  87. def head: T
    Definition Classes
    IndexedSeqOps → IterableOps
  88. def headOption: Option[T]
    Definition Classes
    IndexedSeqOps → IterableOps
  89. def indexOf[B >: T](elem: B): Int
    Definition Classes
    SeqOps
    Annotations
    @deprecatedOverriding()
  90. def indexOf[B >: T](elem: B, from: Int): Int
    Definition Classes
    SeqOps
  91. def indexOfSlice[B >: T](that: Seq[B]): Int
    Definition Classes
    SeqOps
    Annotations
    @deprecatedOverriding()
  92. def indexOfSlice[B >: T](that: Seq[B], from: Int): Int
    Definition Classes
    SeqOps
  93. macro def indexWhere(p: (T) => Bool): UInt

    Outputs the index of the first element for which p outputs true.

  94. def indexWhere(p: (T) => Boolean): Int
    Definition Classes
    SeqOps
    Annotations
    @deprecatedOverriding()
  95. def indexWhere(p: (T) => Boolean, from: Int): Int
    Definition Classes
    SeqOps
  96. def indices: Range
    Definition Classes
    SeqOps
  97. def init: IndexedSeq[T]
    Definition Classes
    IterableOps
  98. def inits: Iterator[IndexedSeq[T]]
    Definition Classes
    IterableOps
  99. def instanceName: String
    Definition Classes
    HasId → InstanceId
  100. def intersect[B >: T](that: Seq[B]): IndexedSeq[T]
    Definition Classes
    SeqOps
  101. def isDefinedAt(idx: Int): Boolean
    Definition Classes
    SeqOps
  102. def isEmpty: Boolean
    Definition Classes
    SeqOps → IterableOnceOps
  103. final def isInstanceOf[T0]: Boolean
    Definition Classes
    Any
  104. def isTraversableAgain: Boolean
    Definition Classes
    IterableOps → IterableOnceOps
  105. def iterableFactory: SeqFactory[IndexedSeq]
    Definition Classes
    IndexedSeq → IndexedSeq → Seq → Seq → Iterable → Iterable → IterableOps
  106. def iterator: Iterator[T]
    Definition Classes
    IndexedSeqOps → IterableOnce
  107. def knownSize: Int
    Definition Classes
    IndexedSeqOps → IterableOnce
  108. def last: T
    Definition Classes
    IndexedSeqOps → IterableOps
  109. def lastIndexOf[B >: T](elem: B, end: Int): Int
    Definition Classes
    SeqOps
  110. def lastIndexOfSlice[B >: T](that: Seq[B]): Int
    Definition Classes
    SeqOps
    Annotations
    @deprecatedOverriding()
  111. def lastIndexOfSlice[B >: T](that: Seq[B], end: Int): Int
    Definition Classes
    SeqOps
  112. macro def lastIndexWhere(p: (T) => Bool): UInt

    Outputs the index of the last element for which p outputs true.

  113. def lastIndexWhere(p: (T) => Boolean): Int
    Definition Classes
    SeqOps
    Annotations
    @deprecatedOverriding()
  114. def lastIndexWhere(p: (T) => Boolean, end: Int): Int
    Definition Classes
    SeqOps
  115. def lastOption: Option[T]
    Definition Classes
    IterableOps
  116. def lazyZip[B](that: Iterable[B]): LazyZip2[T, B, VecLike.this.type]
    Definition Classes
    Iterable
  117. final def lengthCompare(that: Iterable[_]): Int
    Definition Classes
    IndexedSeqOps → SeqOps
  118. final def lengthCompare(len: Int): Int
    Definition Classes
    IndexedSeqOps → SeqOps
  119. final def lengthIs: SizeCompareOps
    Definition Classes
    SeqOps
    Annotations
    @inline()
  120. def lift: (Int) => Option[T]
    Definition Classes
    PartialFunction
  121. def map[B](f: (T) => B): IndexedSeq[B]
    Definition Classes
    IndexedSeqOps → IterableOps → IterableOnceOps
  122. def max[B >: T](implicit ord: Ordering[B]): T
    Definition Classes
    IterableOnceOps
  123. def maxBy[B](f: (T) => B)(implicit cmp: Ordering[B]): T
    Definition Classes
    IterableOnceOps
  124. def maxByOption[B](f: (T) => B)(implicit cmp: Ordering[B]): Option[T]
    Definition Classes
    IterableOnceOps
  125. def maxOption[B >: T](implicit ord: Ordering[B]): Option[T]
    Definition Classes
    IterableOnceOps
  126. def min[B >: T](implicit ord: Ordering[B]): T
    Definition Classes
    IterableOnceOps
  127. def minBy[B](f: (T) => B)(implicit cmp: Ordering[B]): T
    Definition Classes
    IterableOnceOps
  128. def minByOption[B](f: (T) => B)(implicit cmp: Ordering[B]): Option[T]
    Definition Classes
    IterableOnceOps
  129. def minOption[B >: T](implicit ord: Ordering[B]): Option[T]
    Definition Classes
    IterableOnceOps
  130. final def mkString: String
    Definition Classes
    IterableOnceOps
    Annotations
    @inline()
  131. final def mkString(sep: String): String
    Definition Classes
    IterableOnceOps
    Annotations
    @inline()
  132. final def mkString(start: String, sep: String, end: String): String
    Definition Classes
    IterableOnceOps
  133. final def ne(arg0: AnyRef): Boolean
    Definition Classes
    AnyRef
  134. def newSpecificBuilder: Builder[T, IndexedSeq[T]]
    Attributes
    protected
    Definition Classes
    IterableFactoryDefaults → IterableOps
  135. def nonEmpty: Boolean
    Definition Classes
    IterableOnceOps
    Annotations
    @deprecatedOverriding()
  136. final def notify(): Unit
    Definition Classes
    AnyRef
    Annotations
    @native() @HotSpotIntrinsicCandidate()
  137. final def notifyAll(): Unit
    Definition Classes
    AnyRef
    Annotations
    @native() @HotSpotIntrinsicCandidate()
  138. def occCounts[B](sq: Seq[B]): Map[B, Int]
    Attributes
    protected[collection]
    Definition Classes
    SeqOps
  139. macro def onlyIndexWhere(p: (T) => Bool): UInt

    Outputs the index of the element for which p outputs true, assuming that the there is exactly one such element.

    Outputs the index of the element for which p outputs true, assuming that the there is exactly one such element.

    The implementation may be more efficient than a priority mux, but incorrect results are possible if there is not exactly one true element.

    Note

    the assumption that there is only one element for which p outputs true is NOT checked (useful in cases where the condition doesn't always hold, but the results are not used in those cases)

  140. def orElse[A1 <: Int, B1 >: T](that: PartialFunction[A1, B1]): PartialFunction[A1, B1]
    Definition Classes
    PartialFunction
  141. def padTo[B >: T](len: Int, elem: B): IndexedSeq[B]
    Definition Classes
    SeqOps
  142. def parentModName: String
    Definition Classes
    HasId → InstanceId
  143. def parentPathName: String
    Definition Classes
    HasId → InstanceId
  144. def partition(p: (T) => Boolean): (IndexedSeq[T], IndexedSeq[T])
    Definition Classes
    IterableOps
  145. def partitionMap[A1, A2](f: (T) => Either[A1, A2]): (IndexedSeq[A1], IndexedSeq[A2])
    Definition Classes
    IterableOps
  146. def patch[B >: T](from: Int, other: IterableOnce[B], replaced: Int): IndexedSeq[B]
    Definition Classes
    SeqOps
  147. def pathName: String
    Definition Classes
    HasId → InstanceId
  148. def permutations: Iterator[IndexedSeq[T]]
    Definition Classes
    SeqOps
  149. def prepended[B >: T](elem: B): IndexedSeq[B]
    Definition Classes
    IndexedSeqOps → SeqOps
  150. def prependedAll[B >: T](prefix: IterableOnce[B]): IndexedSeq[B]
    Definition Classes
    SeqOps
  151. def product[B >: T](implicit num: Numeric[B]): B
    Definition Classes
    IterableOnceOps
  152. def reduce[B >: T](op: (B, B) => B): B
    Definition Classes
    IterableOnceOps
  153. def reduceLeft[B >: T](op: (B, T) => B): B
    Definition Classes
    IterableOnceOps
  154. def reduceLeftOption[B >: T](op: (B, T) => B): Option[B]
    Definition Classes
    IterableOnceOps
  155. def reduceOption[B >: T](op: (B, B) => B): Option[B]
    Definition Classes
    IterableOnceOps
  156. def reduceRight[B >: T](op: (T, B) => B): B
    Definition Classes
    IterableOnceOps
  157. def reduceRightOption[B >: T](op: (T, B) => B): Option[B]
    Definition Classes
    IterableOnceOps
  158. def reverse: IndexedSeq[T]
    Definition Classes
    IndexedSeqOps → SeqOps
  159. def reverseIterator: Iterator[T]
    Definition Classes
    IndexedSeqOps → SeqOps
  160. def reversed: Iterable[T]
    Attributes
    protected
    Definition Classes
    IndexedSeqOps → IterableOnceOps
  161. def runWith[U](action: (T) => U): (Int) => Boolean
    Definition Classes
    PartialFunction
  162. def sameElements[B >: T](o: IterableOnce[B]): Boolean
    Definition Classes
    IndexedSeq → SeqOps
  163. def scan[B >: T](z: B)(op: (B, B) => B): IndexedSeq[B]
    Definition Classes
    IterableOps
  164. def scanLeft[B](z: B)(op: (B, T) => B): IndexedSeq[B]
    Definition Classes
    IterableOps → IterableOnceOps
  165. def scanRight[B](z: B)(op: (T, B) => B): IndexedSeq[B]
    Definition Classes
    IterableOps
  166. def search[B >: T](elem: B, from: Int, to: Int)(implicit ord: Ordering[B]): SearchResult
    Definition Classes
    IndexedSeqOps → SeqOps
  167. def search[B >: T](elem: B)(implicit ord: Ordering[B]): SearchResult
    Definition Classes
    IndexedSeqOps → SeqOps
  168. def segmentLength(p: (T) => Boolean, from: Int): Int
    Definition Classes
    SeqOps
  169. final def segmentLength(p: (T) => Boolean): Int
    Definition Classes
    SeqOps
  170. final def size: Int
    Definition Classes
    SeqOps → IterableOnceOps
  171. final def sizeCompare(that: Iterable[_]): Int
    Definition Classes
    SeqOps → IterableOps
  172. final def sizeCompare(otherSize: Int): Int
    Definition Classes
    SeqOps → IterableOps
  173. final def sizeIs: SizeCompareOps
    Definition Classes
    IterableOps
    Annotations
    @inline()
  174. def slice(from: Int, until: Int): IndexedSeq[T]
    Definition Classes
    IndexedSeqOps → IndexedSeqOps → IterableOps → IterableOnceOps
  175. def sliding(size: Int, step: Int): Iterator[IndexedSeq[T]]
    Definition Classes
    IterableOps
  176. def sliding(size: Int): Iterator[IndexedSeq[T]]
    Definition Classes
    IterableOps
  177. def sortBy[B](f: (T) => B)(implicit ord: Ordering[B]): IndexedSeq[T]
    Definition Classes
    SeqOps
  178. def sortWith(lt: (T, T) => Boolean): IndexedSeq[T]
    Definition Classes
    SeqOps
  179. def sorted[B >: T](implicit ord: Ordering[B]): IndexedSeq[T]
    Definition Classes
    SeqOps
  180. def span(p: (T) => Boolean): (IndexedSeq[T], IndexedSeq[T])
    Definition Classes
    IterableOps → IterableOnceOps
  181. def splitAt(n: Int): (IndexedSeq[T], IndexedSeq[T])
    Definition Classes
    IterableOps → IterableOnceOps
  182. def startsWith[B >: T](that: IterableOnce[B], offset: Int): Boolean
    Definition Classes
    SeqOps
  183. def stepper[S <: Stepper[_]](implicit shape: StepperShape[T, S]): S with EfficientSplit
    Definition Classes
    IndexedSeqOps → IterableOnce
  184. def stringPrefix: String
    Attributes
    protected[this]
    Definition Classes
    IndexedSeq → Seq → Iterable
  185. def suggestName(seed: => String): VecLike.this.type

    Takes the first seed suggested.

    Takes the first seed suggested. Multiple calls to this function will be ignored. If the final computed name conflicts with another name, it may get uniquified by appending a digit at the end.

    Is a higher priority than autoSeed, in that regardless of whether autoSeed was called, suggestName will always take precedence.

    seed

    The seed for the name of this component

    returns

    this object

    Definition Classes
    HasId
  186. def sum[B >: T](implicit num: Numeric[B]): B
    Definition Classes
    IterableOnceOps
  187. final def synchronized[T0](arg0: => T0): T0
    Definition Classes
    AnyRef
  188. def tail: IndexedSeq[T]
    Definition Classes
    IterableOps
  189. def tails: Iterator[IndexedSeq[T]]
    Definition Classes
    IterableOps
  190. def take(n: Int): IndexedSeq[T]
    Definition Classes
    IndexedSeqOps → IterableOps → IterableOnceOps
  191. def takeRight(n: Int): IndexedSeq[T]
    Definition Classes
    IndexedSeqOps → IterableOps
  192. def takeWhile(p: (T) => Boolean): IndexedSeq[T]
    Definition Classes
    IterableOps → IterableOnceOps
  193. def tapEach[U](f: (T) => U): IndexedSeq[T]
    Definition Classes
    IterableOps → IterableOnceOps
  194. def to[C1](factory: Factory[T, C1]): C1
    Definition Classes
    IterableOnceOps
  195. def toArray[B >: T](implicit arg0: ClassTag[B]): Array[B]
    Definition Classes
    IterableOnceOps
  196. final def toBuffer[B >: T]: Buffer[B]
    Definition Classes
    IterableOnceOps
    Annotations
    @inline()
  197. final def toIndexedSeq: IndexedSeq[T]
    Definition Classes
    IndexedSeq → IterableOnceOps
  198. def toList: List[T]
    Definition Classes
    IterableOnceOps
  199. def toMap[K, V](implicit ev: <:<[T, (K, V)]): Map[K, V]
    Definition Classes
    IterableOnceOps
  200. final def toSeq: VecLike.this.type
    Definition Classes
    Seq → IterableOnceOps
  201. def toSet[B >: T]: Set[B]
    Definition Classes
    IterableOnceOps
  202. def toString(): String
    Definition Classes
    Seq → Function1 → Iterable → AnyRef → Any
  203. def toVector: Vector[T]
    Definition Classes
    IterableOnceOps
  204. def transpose[B](implicit asIterable: (T) => Iterable[B]): IndexedSeq[IndexedSeq[B]]
    Definition Classes
    IterableOps
  205. def unapply(a: Int): Option[T]
    Definition Classes
    PartialFunction
  206. def unlift: PartialFunction[Int, B]
    Implicit
    This member is added by an implicit conversion from VecLike[T] toUnliftOps[Int, B] performed by method UnliftOps in scala.Function1.This conversion will take place only if T is a subclass of Option[B] (T <: Option[B]).
    Definition Classes
    UnliftOps
  207. def unzip[A1, A2](implicit asPair: (T) => (A1, A2)): (IndexedSeq[A1], IndexedSeq[A2])
    Definition Classes
    IterableOps
  208. def unzip3[A1, A2, A3](implicit asTriple: (T) => (A1, A2, A3)): (IndexedSeq[A1], IndexedSeq[A2], IndexedSeq[A3])
    Definition Classes
    IterableOps
  209. def updated[B >: T](index: Int, elem: B): IndexedSeq[B]
    Definition Classes
    SeqOps
  210. def view: IndexedSeqView[T]
    Definition Classes
    IndexedSeqOps → SeqOps → IterableOps
  211. final def wait(arg0: Long, arg1: Int): Unit
    Definition Classes
    AnyRef
    Annotations
    @throws(classOf[java.lang.InterruptedException])
  212. final def wait(arg0: Long): Unit
    Definition Classes
    AnyRef
    Annotations
    @throws(classOf[java.lang.InterruptedException]) @native()
  213. final def wait(): Unit
    Definition Classes
    AnyRef
    Annotations
    @throws(classOf[java.lang.InterruptedException])
  214. def withFilter(p: (T) => Boolean): WithFilter[T, [_]IndexedSeq[_]]
    Definition Classes
    IterableOps
  215. def zip[B](that: IterableOnce[B]): IndexedSeq[(T, B)]
    Definition Classes
    IterableOps
  216. def zipAll[A1 >: T, B](that: Iterable[B], thisElem: A1, thatElem: B): IndexedSeq[(A1, B)]
    Definition Classes
    IterableOps
  217. def zipWithIndex: IndexedSeq[(T, Int)]
    Definition Classes
    IterableOps → IterableOnceOps

Deprecated Value Members

  1. def /:[B](z: B)(op: (B, T) => B): B
    Implicit
    This member is added by an implicit conversion from VecLike[T] toIterableOnceExtensionMethods[T] performed by method iterableOnceExtensionMethods in scala.collection.IterableOnce.
    Shadowing
    This implicitly inherited member is shadowed by one or more members in this class.
    To access this member you can use a type ascription:
    (vecLike: IterableOnceExtensionMethods[T])./:(z)(op)
    Definition Classes
    IterableOnceExtensionMethods
    Annotations
    @deprecated @inline()
    Deprecated

    (Since version 2.13.0) Use .iterator.foldLeft instead

  2. final def /:[B](z: B)(op: (B, T) => B): B
    Definition Classes
    IterableOnceOps
    Annotations
    @deprecated @inline()
    Deprecated

    (Since version 2.13.0) Use foldLeft instead of /:

  3. def :\[B](z: B)(op: (T, B) => B): B
    Implicit
    This member is added by an implicit conversion from VecLike[T] toIterableOnceExtensionMethods[T] performed by method iterableOnceExtensionMethods in scala.collection.IterableOnce.
    Shadowing
    This implicitly inherited member is shadowed by one or more members in this class.
    To access this member you can use a type ascription:
    (vecLike: IterableOnceExtensionMethods[T]).:\(z)(op)
    Definition Classes
    IterableOnceExtensionMethods
    Annotations
    @deprecated @inline()
    Deprecated

    (Since version 2.13.0) Use .iterator.foldRight instead

  4. final def :\[B](z: B)(op: (T, B) => B): B
    Definition Classes
    IterableOnceOps
    Annotations
    @deprecated @inline()
    Deprecated

    (Since version 2.13.0) Use foldRight instead of :\

  5. def aggregate[B](z: => B)(seqop: (B, T) => B, combop: (B, B) => B): B
    Definition Classes
    IterableOnceOps
    Annotations
    @deprecated
    Deprecated

    (Since version 2.13.0) aggregate is not relevant for sequential collections. Use foldLeft(z)(seqop) instead.

  6. def collectFirst[B](f: PartialFunction[T, B]): Option[B]
    Implicit
    This member is added by an implicit conversion from VecLike[T] toIterableOnceExtensionMethods[T] performed by method iterableOnceExtensionMethods in scala.collection.IterableOnce.
    Shadowing
    This implicitly inherited member is shadowed by one or more members in this class.
    To access this member you can use a type ascription:
    (vecLike: IterableOnceExtensionMethods[T]).collectFirst(f)
    Definition Classes
    IterableOnceExtensionMethods
    Annotations
    @deprecated
    Deprecated

    (Since version 2.13.0) Use .iterator.collectFirst(...) instead

  7. def companion: IterableFactory[[_]IndexedSeq[_]]
    Definition Classes
    IterableOps
    Annotations
    @deprecated @deprecatedOverriding() @inline()
    Deprecated

    (Since version 2.13.0) Use iterableFactory instead

  8. def copyToBuffer(dest: Buffer[T]): Unit
    Implicit
    This member is added by an implicit conversion from VecLike[T] toIterableOnceExtensionMethods[T] performed by method iterableOnceExtensionMethods in scala.collection.IterableOnce.
    Shadowing
    This implicitly inherited member is shadowed by one or more members in this class.
    To access this member you can use a type ascription:
    (vecLike: IterableOnceExtensionMethods[T]).copyToBuffer(dest)
    Definition Classes
    IterableOnceExtensionMethods
    Annotations
    @deprecated
    Deprecated

    (Since version 2.13.0) Use .iterator.copyToBuffer(...) instead

  9. final def copyToBuffer[B >: T](dest: Buffer[B]): Unit
    Definition Classes
    IterableOnceOps
    Annotations
    @deprecated @inline()
    Deprecated

    (Since version 2.13.0) Use dest ++= coll instead

  10. def count(f: (T) => Boolean): Int
    Implicit
    This member is added by an implicit conversion from VecLike[T] toIterableOnceExtensionMethods[T] performed by method iterableOnceExtensionMethods in scala.collection.IterableOnce.
    Shadowing
    This implicitly inherited member is shadowed by one or more members in this class.
    To access this member you can use a type ascription:
    (vecLike: IterableOnceExtensionMethods[T]).count(f)
    Definition Classes
    IterableOnceExtensionMethods
    Annotations
    @deprecated
    Deprecated

    (Since version 2.13.0) Use .iterator.count(...) instead

  11. def exists(f: (T) => Boolean): Boolean
    Implicit
    This member is added by an implicit conversion from VecLike[T] toIterableOnceExtensionMethods[T] performed by method iterableOnceExtensionMethods in scala.collection.IterableOnce.
    Shadowing
    This implicitly inherited member is shadowed by one or more members in this class.
    To access this member you can use a type ascription:
    (vecLike: IterableOnceExtensionMethods[T]).exists(f)
    Definition Classes
    IterableOnceExtensionMethods
    Annotations
    @deprecated
    Deprecated

    (Since version 2.13.0) Use .iterator.exists(...) instead

  12. def filter(f: (T) => Boolean): Iterator[T]
    Implicit
    This member is added by an implicit conversion from VecLike[T] toIterableOnceExtensionMethods[T] performed by method iterableOnceExtensionMethods in scala.collection.IterableOnce.
    Shadowing
    This implicitly inherited member is shadowed by one or more members in this class.
    To access this member you can use a type ascription:
    (vecLike: IterableOnceExtensionMethods[T]).filter(f)
    Definition Classes
    IterableOnceExtensionMethods
    Annotations
    @deprecated
    Deprecated

    (Since version 2.13.0) Use .iterator.filter(...) instead

  13. def finalize(): Unit
    Attributes
    protected[lang]
    Definition Classes
    AnyRef
    Annotations
    @throws(classOf[java.lang.Throwable]) @Deprecated
    Deprecated
  14. def find(p: (T) => Boolean): Option[T]
    Implicit
    This member is added by an implicit conversion from VecLike[T] toIterableOnceExtensionMethods[T] performed by method iterableOnceExtensionMethods in scala.collection.IterableOnce.
    Shadowing
    This implicitly inherited member is shadowed by one or more members in this class.
    To access this member you can use a type ascription:
    (vecLike: IterableOnceExtensionMethods[T]).find(p)
    Definition Classes
    IterableOnceExtensionMethods
    Annotations
    @deprecated
    Deprecated

    (Since version 2.13.0) Use .iterator.find instead

  15. def flatMap[B](f: (T) => IterableOnce[B]): IterableOnce[B]
    Implicit
    This member is added by an implicit conversion from VecLike[T] toIterableOnceExtensionMethods[T] performed by method iterableOnceExtensionMethods in scala.collection.IterableOnce.
    Shadowing
    This implicitly inherited member is shadowed by one or more members in this class.
    To access this member you can use a type ascription:
    (vecLike: IterableOnceExtensionMethods[T]).flatMap(f)
    Definition Classes
    IterableOnceExtensionMethods
    Annotations
    @deprecated
    Deprecated

    (Since version 2.13.0) Use .iterator.flatMap instead or consider requiring an Iterable

  16. def fold[A1 >: A](z: A1)(op: (A1, A1) => A1): A1
    Implicit
    This member is added by an implicit conversion from VecLike[T] toIterableOnceExtensionMethods[T] performed by method iterableOnceExtensionMethods in scala.collection.IterableOnce.
    Shadowing
    This implicitly inherited member is shadowed by one or more members in this class.
    To access this member you can use a type ascription:
    (vecLike: IterableOnceExtensionMethods[T]).fold(z)(op)
    Definition Classes
    IterableOnceExtensionMethods
    Annotations
    @deprecated
    Deprecated

    (Since version 2.13.0) Use .iterator.fold instead

  17. def foldLeft[B](z: B)(op: (B, T) => B): B
    Implicit
    This member is added by an implicit conversion from VecLike[T] toIterableOnceExtensionMethods[T] performed by method iterableOnceExtensionMethods in scala.collection.IterableOnce.
    Shadowing
    This implicitly inherited member is shadowed by one or more members in this class.
    To access this member you can use a type ascription:
    (vecLike: IterableOnceExtensionMethods[T]).foldLeft(z)(op)
    Definition Classes
    IterableOnceExtensionMethods
    Annotations
    @deprecated @inline()
    Deprecated

    (Since version 2.13.0) Use .iterator.foldLeft instead

  18. def foldRight[B](z: B)(op: (T, B) => B): B
    Implicit
    This member is added by an implicit conversion from VecLike[T] toIterableOnceExtensionMethods[T] performed by method iterableOnceExtensionMethods in scala.collection.IterableOnce.
    Shadowing
    This implicitly inherited member is shadowed by one or more members in this class.
    To access this member you can use a type ascription:
    (vecLike: IterableOnceExtensionMethods[T]).foldRight(z)(op)
    Definition Classes
    IterableOnceExtensionMethods
    Annotations
    @deprecated @inline()
    Deprecated

    (Since version 2.13.0) Use .iterator.foldRight instead

  19. def forall(f: (T) => Boolean): Boolean
    Implicit
    This member is added by an implicit conversion from VecLike[T] toIterableOnceExtensionMethods[T] performed by method iterableOnceExtensionMethods in scala.collection.IterableOnce.
    Shadowing
    This implicitly inherited member is shadowed by one or more members in this class.
    To access this member you can use a type ascription:
    (vecLike: IterableOnceExtensionMethods[T]).forall(f)
    Definition Classes
    IterableOnceExtensionMethods
    Annotations
    @deprecated
    Deprecated

    (Since version 2.13.0) Use .iterator.forall(...) instead

  20. def foreach[U](f: (T) => U): Unit
    Implicit
    This member is added by an implicit conversion from VecLike[T] toIterableOnceExtensionMethods[T] performed by method iterableOnceExtensionMethods in scala.collection.IterableOnce.
    Shadowing
    This implicitly inherited member is shadowed by one or more members in this class.
    To access this member you can use a type ascription:
    (vecLike: IterableOnceExtensionMethods[T]).foreach(f)
    Definition Classes
    IterableOnceExtensionMethods
    Annotations
    @deprecated @inline()
    Deprecated

    (Since version 2.13.0) Use .iterator.foreach(...) instead

  21. def formatted(fmtstr: String): String
    Implicit
    This member is added by an implicit conversion from VecLike[T] toStringFormat[VecLike[T]] performed by method StringFormat in scala.Predef.
    Definition Classes
    StringFormat
    Annotations
    @deprecated @inline()
    Deprecated

    (Since version 2.12.16) Use formatString.format(value) instead of value.formatted(formatString), or use the f"" string interpolator. In Java 15 and later, formatted resolves to the new method in String which has reversed parameters.

  22. def hasDefiniteSize: Boolean
    Definition Classes
    IterableOnceOps
    Annotations
    @deprecated
    Deprecated

    (Since version 2.13.0) Check .knownSize instead of .hasDefiniteSize for more actionable information (see scaladoc for details)

  23. def isEmpty: Boolean
    Implicit
    This member is added by an implicit conversion from VecLike[T] toIterableOnceExtensionMethods[T] performed by method iterableOnceExtensionMethods in scala.collection.IterableOnce.
    Shadowing
    This implicitly inherited member is shadowed by one or more members in this class.
    To access this member you can use a type ascription:
    (vecLike: IterableOnceExtensionMethods[T]).isEmpty
    Definition Classes
    IterableOnceExtensionMethods
    Annotations
    @deprecated
    Deprecated

    (Since version 2.13.0) Use .iterator.isEmpty instead

  24. def map[B](f: (T) => B): IterableOnce[B]
    Implicit
    This member is added by an implicit conversion from VecLike[T] toIterableOnceExtensionMethods[T] performed by method iterableOnceExtensionMethods in scala.collection.IterableOnce.
    Shadowing
    This implicitly inherited member is shadowed by one or more members in this class.
    To access this member you can use a type ascription:
    (vecLike: IterableOnceExtensionMethods[T]).map(f)
    Definition Classes
    IterableOnceExtensionMethods
    Annotations
    @deprecated
    Deprecated

    (Since version 2.13.0) Use .iterator.map instead or consider requiring an Iterable

  25. def max(implicit ord: Ordering[T]): T
    Implicit
    This member is added by an implicit conversion from VecLike[T] toIterableOnceExtensionMethods[T] performed by method iterableOnceExtensionMethods in scala.collection.IterableOnce.
    Shadowing
    This implicitly inherited member is shadowed by one or more members in this class.
    To access this member you can use a type ascription:
    (vecLike: IterableOnceExtensionMethods[T]).max(ord)
    Definition Classes
    IterableOnceExtensionMethods
    Annotations
    @deprecated
    Deprecated

    (Since version 2.13.0) Use .iterator.max instead

  26. def maxBy[B](f: (T) => B)(implicit cmp: Ordering[B]): T
    Implicit
    This member is added by an implicit conversion from VecLike[T] toIterableOnceExtensionMethods[T] performed by method iterableOnceExtensionMethods in scala.collection.IterableOnce.
    Shadowing
    This implicitly inherited member is shadowed by one or more members in this class.
    To access this member you can use a type ascription:
    (vecLike: IterableOnceExtensionMethods[T]).maxBy(f)(cmp)
    Definition Classes
    IterableOnceExtensionMethods
    Annotations
    @deprecated
    Deprecated

    (Since version 2.13.0) Use .iterator.maxBy(...) instead

  27. def min(implicit ord: Ordering[T]): T
    Implicit
    This member is added by an implicit conversion from VecLike[T] toIterableOnceExtensionMethods[T] performed by method iterableOnceExtensionMethods in scala.collection.IterableOnce.
    Shadowing
    This implicitly inherited member is shadowed by one or more members in this class.
    To access this member you can use a type ascription:
    (vecLike: IterableOnceExtensionMethods[T]).min(ord)
    Definition Classes
    IterableOnceExtensionMethods
    Annotations
    @deprecated
    Deprecated

    (Since version 2.13.0) Use .iterator.min instead

  28. def minBy[B](f: (T) => B)(implicit cmp: Ordering[B]): T
    Implicit
    This member is added by an implicit conversion from VecLike[T] toIterableOnceExtensionMethods[T] performed by method iterableOnceExtensionMethods in scala.collection.IterableOnce.
    Shadowing
    This implicitly inherited member is shadowed by one or more members in this class.
    To access this member you can use a type ascription:
    (vecLike: IterableOnceExtensionMethods[T]).minBy(f)(cmp)
    Definition Classes
    IterableOnceExtensionMethods
    Annotations
    @deprecated
    Deprecated

    (Since version 2.13.0) Use .iterator.minBy(...) instead

  29. def mkString: String
    Implicit
    This member is added by an implicit conversion from VecLike[T] toIterableOnceExtensionMethods[T] performed by method iterableOnceExtensionMethods in scala.collection.IterableOnce.
    Shadowing
    This implicitly inherited member is shadowed by one or more members in this class.
    To access this member you can use a type ascription:
    (vecLike: IterableOnceExtensionMethods[T]).mkString
    Definition Classes
    IterableOnceExtensionMethods
    Annotations
    @deprecated
    Deprecated

    (Since version 2.13.0) Use .iterator.mkString instead

  30. def mkString(sep: String): String
    Implicit
    This member is added by an implicit conversion from VecLike[T] toIterableOnceExtensionMethods[T] performed by method iterableOnceExtensionMethods in scala.collection.IterableOnce.
    Shadowing
    This implicitly inherited member is shadowed by one or more members in this class.
    To access this member you can use a type ascription:
    (vecLike: IterableOnceExtensionMethods[T]).mkString(sep)
    Definition Classes
    IterableOnceExtensionMethods
    Annotations
    @deprecated
    Deprecated

    (Since version 2.13.0) Use .iterator.mkString instead

  31. def mkString(start: String, sep: String, end: String): String
    Implicit
    This member is added by an implicit conversion from VecLike[T] toIterableOnceExtensionMethods[T] performed by method iterableOnceExtensionMethods in scala.collection.IterableOnce.
    Shadowing
    This implicitly inherited member is shadowed by one or more members in this class.
    To access this member you can use a type ascription:
    (vecLike: IterableOnceExtensionMethods[T]).mkString(start, sep, end)
    Definition Classes
    IterableOnceExtensionMethods
    Annotations
    @deprecated
    Deprecated

    (Since version 2.13.0) Use .iterator.mkString instead

  32. def nonEmpty: Boolean
    Implicit
    This member is added by an implicit conversion from VecLike[T] toIterableOnceExtensionMethods[T] performed by method iterableOnceExtensionMethods in scala.collection.IterableOnce.
    Shadowing
    This implicitly inherited member is shadowed by one or more members in this class.
    To access this member you can use a type ascription:
    (vecLike: IterableOnceExtensionMethods[T]).nonEmpty
    Definition Classes
    IterableOnceExtensionMethods
    Annotations
    @deprecated
    Deprecated

    (Since version 2.13.0) Use .iterator.nonEmpty instead

  33. final def prefixLength(p: (T) => Boolean): Int
    Definition Classes
    SeqOps
    Annotations
    @deprecated @inline()
    Deprecated

    (Since version 2.13.0) Use segmentLength instead of prefixLength

  34. def product(implicit num: Numeric[T]): T
    Implicit
    This member is added by an implicit conversion from VecLike[T] toIterableOnceExtensionMethods[T] performed by method iterableOnceExtensionMethods in scala.collection.IterableOnce.
    Shadowing
    This implicitly inherited member is shadowed by one or more members in this class.
    To access this member you can use a type ascription:
    (vecLike: IterableOnceExtensionMethods[T]).product(num)
    Definition Classes
    IterableOnceExtensionMethods
    Annotations
    @deprecated
    Deprecated

    (Since version 2.13.0) Use .iterator.product instead

  35. def reduce(f: (T, T) => T): T
    Implicit
    This member is added by an implicit conversion from VecLike[T] toIterableOnceExtensionMethods[T] performed by method iterableOnceExtensionMethods in scala.collection.IterableOnce.
    Shadowing
    This implicitly inherited member is shadowed by one or more members in this class.
    To access this member you can use a type ascription:
    (vecLike: IterableOnceExtensionMethods[T]).reduce(f)
    Definition Classes
    IterableOnceExtensionMethods
    Annotations
    @deprecated
    Deprecated

    (Since version 2.13.0) Use .iterator.reduce(...) instead

  36. def reduceLeft(f: (T, T) => T): T
    Implicit
    This member is added by an implicit conversion from VecLike[T] toIterableOnceExtensionMethods[T] performed by method iterableOnceExtensionMethods in scala.collection.IterableOnce.
    Shadowing
    This implicitly inherited member is shadowed by one or more members in this class.
    To access this member you can use a type ascription:
    (vecLike: IterableOnceExtensionMethods[T]).reduceLeft(f)
    Definition Classes
    IterableOnceExtensionMethods
    Annotations
    @deprecated
    Deprecated

    (Since version 2.13.0) Use .iterator.reduceLeft(...) instead

  37. def reduceLeftOption(f: (T, T) => T): Option[T]
    Implicit
    This member is added by an implicit conversion from VecLike[T] toIterableOnceExtensionMethods[T] performed by method iterableOnceExtensionMethods in scala.collection.IterableOnce.
    Shadowing
    This implicitly inherited member is shadowed by one or more members in this class.
    To access this member you can use a type ascription:
    (vecLike: IterableOnceExtensionMethods[T]).reduceLeftOption(f)
    Definition Classes
    IterableOnceExtensionMethods
    Annotations
    @deprecated
    Deprecated

    (Since version 2.13.0) Use .iterator.reduceLeftOption(...) instead

  38. def reduceOption(f: (T, T) => T): Option[T]
    Implicit
    This member is added by an implicit conversion from VecLike[T] toIterableOnceExtensionMethods[T] performed by method iterableOnceExtensionMethods in scala.collection.IterableOnce.
    Shadowing
    This implicitly inherited member is shadowed by one or more members in this class.
    To access this member you can use a type ascription:
    (vecLike: IterableOnceExtensionMethods[T]).reduceOption(f)
    Definition Classes
    IterableOnceExtensionMethods
    Annotations
    @deprecated
    Deprecated

    (Since version 2.13.0) Use .iterator.reduceOption(...) instead

  39. def reduceRight(f: (T, T) => T): T
    Implicit
    This member is added by an implicit conversion from VecLike[T] toIterableOnceExtensionMethods[T] performed by method iterableOnceExtensionMethods in scala.collection.IterableOnce.
    Shadowing
    This implicitly inherited member is shadowed by one or more members in this class.
    To access this member you can use a type ascription:
    (vecLike: IterableOnceExtensionMethods[T]).reduceRight(f)
    Definition Classes
    IterableOnceExtensionMethods
    Annotations
    @deprecated
    Deprecated

    (Since version 2.13.0) Use .iterator.reduceRight(...) instead

  40. def reduceRightOption(f: (T, T) => T): Option[T]
    Implicit
    This member is added by an implicit conversion from VecLike[T] toIterableOnceExtensionMethods[T] performed by method iterableOnceExtensionMethods in scala.collection.IterableOnce.
    Shadowing
    This implicitly inherited member is shadowed by one or more members in this class.
    To access this member you can use a type ascription:
    (vecLike: IterableOnceExtensionMethods[T]).reduceRightOption(f)
    Definition Classes
    IterableOnceExtensionMethods
    Annotations
    @deprecated
    Deprecated

    (Since version 2.13.0) Use .iterator.reduceRightOption(...) instead

  41. final def repr: IndexedSeq[T]
    Definition Classes
    IterableOps
    Annotations
    @deprecated
    Deprecated

    (Since version 2.13.0) Use coll instead of repr in a collection implementation, use the collection value itself from the outside

  42. def reverseMap[B](f: (T) => B): IndexedSeq[B]
    Definition Classes
    SeqOps
    Annotations
    @deprecated
    Deprecated

    (Since version 2.13.0) Use .reverseIterator.map(f).to(...) instead of .reverseMap(f)

  43. def sameElements[B >: A](that: IterableOnce[B]): Boolean
    Implicit
    This member is added by an implicit conversion from VecLike[T] toIterableOnceExtensionMethods[T] performed by method iterableOnceExtensionMethods in scala.collection.IterableOnce.
    Shadowing
    This implicitly inherited member is shadowed by one or more members in this class.
    To access this member you can use a type ascription:
    (vecLike: IterableOnceExtensionMethods[T]).sameElements(that)
    Definition Classes
    IterableOnceExtensionMethods
    Annotations
    @deprecated
    Deprecated

    (Since version 2.13.0) Use .iterator.sameElements instead

  44. def seq: VecLike.this.type
    Definition Classes
    Iterable
    Annotations
    @deprecated
    Deprecated

    (Since version 2.13.0) Iterable.seq always returns the iterable itself

  45. def size: Int
    Implicit
    This member is added by an implicit conversion from VecLike[T] toIterableOnceExtensionMethods[T] performed by method iterableOnceExtensionMethods in scala.collection.IterableOnce.
    Shadowing
    This implicitly inherited member is shadowed by one or more members in this class.
    To access this member you can use a type ascription:
    (vecLike: IterableOnceExtensionMethods[T]).size
    Definition Classes
    IterableOnceExtensionMethods
    Annotations
    @deprecated
    Deprecated

    (Since version 2.13.0) Use .iterator.size instead

  46. def sum(implicit num: Numeric[T]): T
    Implicit
    This member is added by an implicit conversion from VecLike[T] toIterableOnceExtensionMethods[T] performed by method iterableOnceExtensionMethods in scala.collection.IterableOnce.
    Shadowing
    This implicitly inherited member is shadowed by one or more members in this class.
    To access this member you can use a type ascription:
    (vecLike: IterableOnceExtensionMethods[T]).sum(num)
    Definition Classes
    IterableOnceExtensionMethods
    Annotations
    @deprecated
    Deprecated

    (Since version 2.13.0) Use .iterator.sum instead

  47. def to[C1](factory: Factory[T, C1]): C1
    Implicit
    This member is added by an implicit conversion from VecLike[T] toIterableOnceExtensionMethods[T] performed by method iterableOnceExtensionMethods in scala.collection.IterableOnce.
    Shadowing
    This implicitly inherited member is shadowed by one or more members in this class.
    To access this member you can use a type ascription:
    (vecLike: IterableOnceExtensionMethods[T]).to(factory)
    Definition Classes
    IterableOnceExtensionMethods
    Annotations
    @deprecated
    Deprecated

    (Since version 2.13.0) Use .iterator.to(factory) instead

  48. def toArray[B >: A](implicit arg0: ClassTag[B]): Array[B]
    Implicit
    This member is added by an implicit conversion from VecLike[T] toIterableOnceExtensionMethods[T] performed by method iterableOnceExtensionMethods in scala.collection.IterableOnce.
    Shadowing
    This implicitly inherited member is shadowed by one or more members in this class.
    To access this member you can use a type ascription:
    (vecLike: IterableOnceExtensionMethods[T]).toArray(arg0)
    Definition Classes
    IterableOnceExtensionMethods
    Annotations
    @deprecated
    Deprecated

    (Since version 2.13.0) Use .iterator.toArray

  49. def toBuffer[B >: A]: Buffer[B]
    Implicit
    This member is added by an implicit conversion from VecLike[T] toIterableOnceExtensionMethods[T] performed by method iterableOnceExtensionMethods in scala.collection.IterableOnce.
    Shadowing
    This implicitly inherited member is shadowed by one or more members in this class.
    To access this member you can use a type ascription:
    (vecLike: IterableOnceExtensionMethods[T]).toBuffer
    Definition Classes
    IterableOnceExtensionMethods
    Annotations
    @deprecated
    Deprecated

    (Since version 2.13.0) Use .iterator.to(ArrayBuffer) instead

  50. def toIndexedSeq: IndexedSeq[T]
    Implicit
    This member is added by an implicit conversion from VecLike[T] toIterableOnceExtensionMethods[T] performed by method iterableOnceExtensionMethods in scala.collection.IterableOnce.
    Shadowing
    This implicitly inherited member is shadowed by one or more members in this class.
    To access this member you can use a type ascription:
    (vecLike: IterableOnceExtensionMethods[T]).toIndexedSeq
    Definition Classes
    IterableOnceExtensionMethods
    Annotations
    @deprecated
    Deprecated

    (Since version 2.13.0) Use .iterator.toIndexedSeq instead

  51. final def toIterable: Iterable[T]
    Implicit
    This member is added by an implicit conversion from VecLike[T] toIterableOnceExtensionMethods[T] performed by method iterableOnceExtensionMethods in scala.collection.IterableOnce.
    Shadowing
    This implicitly inherited member is shadowed by one or more members in this class.
    To access this member you can use a type ascription:
    (vecLike: IterableOnceExtensionMethods[T]).toIterable
    Definition Classes
    IterableOnceExtensionMethods
    Annotations
    @deprecated @inline()
    Deprecated

    (Since version 2.13.0) Use .iterator.to(Iterable) instead

  52. final def toIterable: VecLike.this.type
    Definition Classes
    Iterable → IterableOps
    Annotations
    @deprecated
    Deprecated

    (Since version 2.13.7) toIterable is internal and will be made protected; its name is similar to toList or toSeq, but it doesn't copy non-immutable collections

  53. def toIterator: Iterator[T]
    Implicit
    This member is added by an implicit conversion from VecLike[T] toIterableOnceExtensionMethods[T] performed by method iterableOnceExtensionMethods in scala.collection.IterableOnce.
    Shadowing
    This implicitly inherited member is shadowed by one or more members in this class.
    To access this member you can use a type ascription:
    (vecLike: IterableOnceExtensionMethods[T]).toIterator
    Definition Classes
    IterableOnceExtensionMethods
    Annotations
    @deprecated @inline()
    Deprecated

    (Since version 2.13.0) Use .iterator instead

  54. final def toIterator: Iterator[T]
    Definition Classes
    IterableOnceOps
    Annotations
    @deprecated @inline()
    Deprecated

    (Since version 2.13.0) Use .iterator instead of .toIterator

  55. def toList: List[T]
    Implicit
    This member is added by an implicit conversion from VecLike[T] toIterableOnceExtensionMethods[T] performed by method iterableOnceExtensionMethods in scala.collection.IterableOnce.
    Shadowing
    This implicitly inherited member is shadowed by one or more members in this class.
    To access this member you can use a type ascription:
    (vecLike: IterableOnceExtensionMethods[T]).toList
    Definition Classes
    IterableOnceExtensionMethods
    Annotations
    @deprecated
    Deprecated

    (Since version 2.13.0) Use .iterator.to(List) instead

  56. def toMap[K, V](implicit ev: <:<[T, (K, V)]): Map[K, V]
    Implicit
    This member is added by an implicit conversion from VecLike[T] toIterableOnceExtensionMethods[T] performed by method iterableOnceExtensionMethods in scala.collection.IterableOnce.
    Shadowing
    This implicitly inherited member is shadowed by one or more members in this class.
    To access this member you can use a type ascription:
    (vecLike: IterableOnceExtensionMethods[T]).toMap(ev)
    Definition Classes
    IterableOnceExtensionMethods
    Annotations
    @deprecated
    Deprecated

    (Since version 2.13.0) Use .iterator.to(Map) instead

  57. def toSeq: Seq[T]
    Implicit
    This member is added by an implicit conversion from VecLike[T] toIterableOnceExtensionMethods[T] performed by method iterableOnceExtensionMethods in scala.collection.IterableOnce.
    Shadowing
    This implicitly inherited member is shadowed by one or more members in this class.
    To access this member you can use a type ascription:
    (vecLike: IterableOnceExtensionMethods[T]).toSeq
    Definition Classes
    IterableOnceExtensionMethods
    Annotations
    @deprecated @inline()
    Deprecated

    (Since version 2.13.0) Use .iterator.to(Seq) instead

  58. def toSet[B >: A]: Set[B]
    Implicit
    This member is added by an implicit conversion from VecLike[T] toIterableOnceExtensionMethods[T] performed by method iterableOnceExtensionMethods in scala.collection.IterableOnce.
    Shadowing
    This implicitly inherited member is shadowed by one or more members in this class.
    To access this member you can use a type ascription:
    (vecLike: IterableOnceExtensionMethods[T]).toSet
    Definition Classes
    IterableOnceExtensionMethods
    Annotations
    @deprecated @inline()
    Deprecated

    (Since version 2.13.0) Use .iterator.to(Set) instead

  59. def toStream: Stream[T]
    Implicit
    This member is added by an implicit conversion from VecLike[T] toIterableOnceExtensionMethods[T] performed by method iterableOnceExtensionMethods in scala.collection.IterableOnce.
    Shadowing
    This implicitly inherited member is shadowed by one or more members in this class.
    To access this member you can use a type ascription:
    (vecLike: IterableOnceExtensionMethods[T]).toStream
    Definition Classes
    IterableOnceExtensionMethods
    Annotations
    @deprecated @inline()
    Deprecated

    (Since version 2.13.0) Use .iterator.to(LazyList) instead

  60. final def toStream: Stream[T]
    Definition Classes
    IterableOnceOps
    Annotations
    @deprecated @inline()
    Deprecated

    (Since version 2.13.0) Use .to(LazyList) instead of .toStream

  61. final def toTraversable: Traversable[T]
    Implicit
    This member is added by an implicit conversion from VecLike[T] toIterableOnceExtensionMethods[T] performed by method iterableOnceExtensionMethods in scala.collection.IterableOnce.
    Shadowing
    This implicitly inherited member is shadowed by one or more members in this class.
    To access this member you can use a type ascription:
    (vecLike: IterableOnceExtensionMethods[T]).toTraversable
    Definition Classes
    IterableOnceExtensionMethods
    Annotations
    @deprecated @inline()
    Deprecated

    (Since version 2.13.0) Use .iterator.to(Iterable) instead

  62. final def toTraversable: Traversable[T]
    Definition Classes
    IterableOps
    Annotations
    @deprecated
    Deprecated

    (Since version 2.13.0) toTraversable is internal and will be made protected; its name is similar to toList or toSeq, but it doesn't copy non-immutable collections

  63. def toVector: Vector[T]
    Implicit
    This member is added by an implicit conversion from VecLike[T] toIterableOnceExtensionMethods[T] performed by method iterableOnceExtensionMethods in scala.collection.IterableOnce.
    Shadowing
    This implicitly inherited member is shadowed by one or more members in this class.
    To access this member you can use a type ascription:
    (vecLike: IterableOnceExtensionMethods[T]).toVector
    Definition Classes
    IterableOnceExtensionMethods
    Annotations
    @deprecated @inline()
    Deprecated

    (Since version 2.13.0) Use .iterator.to(Vector) instead

  64. final def union[B >: T](that: Seq[B]): IndexedSeq[B]
    Definition Classes
    SeqOps
    Annotations
    @deprecated @inline()
    Deprecated

    (Since version 2.13.0) Use concat instead

  65. def view(from: Int, until: Int): IndexedSeqView[T]
    Definition Classes
    IndexedSeqOps → IterableOps
    Annotations
    @deprecated
    Deprecated

    (Since version 2.13.0) Use .view.slice(from, until) instead of .view(from, until)

  66. def withFilter(f: (T) => Boolean): Iterator[T]
    Implicit
    This member is added by an implicit conversion from VecLike[T] toIterableOnceExtensionMethods[T] performed by method iterableOnceExtensionMethods in scala.collection.IterableOnce.
    Shadowing
    This implicitly inherited member is shadowed by one or more members in this class.
    To access this member you can use a type ascription:
    (vecLike: IterableOnceExtensionMethods[T]).withFilter(f)
    Definition Classes
    IterableOnceExtensionMethods
    Annotations
    @deprecated
    Deprecated

    (Since version 2.13.0) Use .iterator.withFilter(...) instead

  67. def [B](y: B): (VecLike[T], B)
    Implicit
    This member is added by an implicit conversion from VecLike[T] toArrowAssoc[VecLike[T]] performed by method ArrowAssoc in scala.Predef.This conversion will take place only if T is a subclass of Option[Nothing] (T <: Option[Nothing]).
    Definition Classes
    ArrowAssoc
    Annotations
    @deprecated
    Deprecated

    (Since version 2.13.0) Use -> instead. If you still wish to display it as one character, consider using a font with programming ligatures such as Fira Code.

Inherited from SourceInfoDoc

Inherited from HasId

Inherited from InstanceId

Inherited from IndexedSeq[T]

Inherited from IndexedSeqOps[T, IndexedSeq, IndexedSeq[T]]

Inherited from IndexedSeq[T]

Inherited from IndexedSeqOps[T, [_]IndexedSeq[_], IndexedSeq[T]]

Inherited from Seq[T]

Inherited from SeqOps[T, [_]IndexedSeq[_], IndexedSeq[T]]

Inherited from Seq[T]

Inherited from Equals

Inherited from SeqOps[T, [_]IndexedSeq[_], IndexedSeq[T]]

Inherited from PartialFunction[Int, T]

Inherited from (Int) => T

Inherited from Iterable[T]

Inherited from Iterable[T]

Inherited from IterableFactoryDefaults[T, [x]IndexedSeq[x]]

Inherited from IterableOps[T, [_]IndexedSeq[_], IndexedSeq[T]]

Inherited from IterableOnceOps[T, [_]IndexedSeq[_], IndexedSeq[T]]

Inherited from IterableOnce[T]

Inherited from AnyRef

Inherited from Any

Inherited by implicit conversion UnliftOps fromVecLike[T] to UnliftOps[Int, B]

Inherited by implicit conversion iterableOnceExtensionMethods fromVecLike[T] to IterableOnceExtensionMethods[T]

Inherited by implicit conversion any2stringadd fromVecLike[T] to any2stringadd[VecLike[T]]

Inherited by implicit conversion StringFormat fromVecLike[T] to StringFormat[VecLike[T]]

Inherited by implicit conversion Ensuring fromVecLike[T] to Ensuring[VecLike[T]]

Inherited by implicit conversion ArrowAssoc fromVecLike[T] to ArrowAssoc[VecLike[T]]

Ungrouped

SourceInfoTransformMacro

These internal methods are not part of the public-facing API!

The equivalent public-facing methods do not have the do_ prefix or have the same name. Use and look at the documentation for those. If you want left shift, use <<, not do_<<. If you want conversion to a Seq of Bools look at the asBools above, not the one below. Users can safely ignore every method in this group!

🐉🐉🐉 Here be dragons... 🐉🐉🐉

These do_X methods are used to enable both implicit passing of SourceInfo and chisel3.CompileOptions while also supporting chained apply methods. In effect all "normal" methods that you, as a user, will use in your designs, are converted to their "hidden", do_*, via macro transformations. Without using macros here, only one of the above wanted behaviors is allowed (implicit passing and chained applies)---the compiler interprets a chained apply as an explicit 'implicit' argument and will throw type errors.

The "normal", public-facing methods then take no SourceInfo. However, a macro transforms this public-facing method into a call to an internal, hidden do_* that takes an explicit SourceInfo by inserting an implicitly[SourceInfo] as the explicit argument.